Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / VUnit / vunit issue stats

Last synced: 3 days ago

Total issues: 106
Total pull requests: 97
Average time to close issues: 9 months
Average time to close pull requests: 3 months
Total issue authors: 74
Total pull request authors: 39
Average comments per issue: 4.46
Average comments per pull request: 3.24
Merged pull requests: 56
Bot issues: 0
Bot pull requests: 0

Past year issues: 43
Past year pull requests: 39
Past year average time to close issues: about 1 month
Past year average time to close pull requests: 17 days
Past year issue authors: 29
Past year pull request authors: 21
Past year average comments per issue: 1.65
Past year average comments per pull request: 2.18
Past year merged pull requests: 24
Past year bot issues: 0
Past year bot pull requests: 0

More repo stats: https://repos.ecosyste.ms/hosts/GitHub/repositories/VUnit/vunit
JSON API: https://issues.ecosyste.ms/api/v1/hosts/GitHub/repositories/VUnit%2Fvunit

Issue Author Associations

  • None (75, 70.75%)
  • Contributor (22, 20.75%)
  • Member (6, 5.66%)
  • Collaborator (3, 2.83%)

Pull Request Author Associations

  • Contributor (32, 32.99%)
  • None (26, 26.80%)
  • Collaborator (22, 22.68%)
  • Member (17, 17.53%)

Top Issue Authors

Top Pull Request Authors


All Maintainers

Active Maintainers


Top Issue Labels

  • Enhancement (21)
  • Simulator support (12)
  • Builtins (9)
  • SystemVerilog (5)
  • Tool: Questa (5)
  • Parsing (5)
  • Bug (5)
  • Verification Components (5)
  • Breaking change (4)
  • Question (4)
  • Tool: NVC (3)
  • Docs (3)
  • ThirdParty: cocotb (2)
  • Tool: GtkWave (2)
  • Tool: GHDL (2)
  • Tool: Surfer (2)
  • Help wanted (2)
  • CoSim (1)
  • CI (1)
  • Tool Bug (1)
  • Tool: Icarus Verilog (1)
  • Duplicate (1)
  • Tool: RivieraPro (1)
  • Tool: ActiveHDL (1)
  • Tool: Verilator (1)
  • ThirdParty: OSVVM (1)

Top Pull Request Labels

  • Enhancement (54)
  • Docs (16)
  • Simulator support (14)
  • Builtins (12)
  • Breaking change (6)
  • CI (6)
  • Tool: NVC (6)
  • Verification Components (5)
  • ThirdParty: OSVVM (5)
  • Bug (5)
  • Parsing (4)
  • Tool: GHDL (3)
  • SystemVerilog (2)
  • Tool: Questa (2)
  • Needs testing (1)
  • Tool: RivieraPro (1)
  • Tool: GtkWave (1)
  • Tool: Surfer (1)