Ecosyste.ms: Issues
An open API service for providing issue and pull request metadata for open source projects.
GitHub / umarcor issue stats
Total issues: 66
Total pull requests: 143
Merged pull request: 112
Average time to close issues: 6 months
Average time to close pull requests: about 2 months
Average comments per issue: 3.23
Average comments per pull request: 1.58
Issues created
- ghdl/ghdl-cosim: 10
- wuxx/icesugar: 8
- umarcor/umarcor: 8
- VUnit/vunit: 6
- ghdl/ghdl: 4
- docker/binfmt: 3
- actions/toolkit: 3
- rtimothyedwards/irsim: 2
- umarcor/osvb: 2
- godotengine/godot-commit-artifacts: 2
- its-pointless/gcc_termux: 2
- termux/termux-docker: 2
- yosyshq/oss-cad-suite-build: 1
- juananpe/html5liburua: 1
- marckhouzam/cobra-completion-testing: 1
- badges/shields: 1
- docker/github-actions: 1
- spf13/cobra: 1
- yukihiko-shinoda/yaml-dataclass-config: 1
- SymbiFlow/sphinxcontrib-hdl-diagrams: 1
- edaa-org/pyEDAA.IPXACT: 1
- psurply/dwfv: 1
- chipsalliance/verible-linter-action: 1
- rstudio/distill: 1
- j0ono0/pinout: 1
- actions/runner: 1
Pull requests created
- ghdl/ghdl-cosim: 28
- VUnit/vunit: 17
- spf13/cobra: 10
- edaa-org/pyEDAA.IPXACT: 7
- google/globalfoundries-pdk-libs-gf180mcu_fd_pr: 7
- wuxx/icesugar: 6
- chipsalliance/fpga-interchange-schema: 6
- google/skywater-pdk: 5
- twisted/towncrier: 5
- chipsalliance/fasm: 5
- pradyunsg/furo: 5
- spf13/cobra-cli: 5
- jbms/sphinx-immaterial: 3
- termux/termux-docker: 3
- chipsalliance/f4pga-v2x: 3
- olofk/vidbo: 2
- chipsalliance/verible-linter-action: 2
- chipsalliance/vtr-xml-utils: 2
- msys2/MINGW-packages: 2
- bavovanachte/sphinx-wavedrom: 2
- greatscottgadgets/luna: 2
- trabucayre/openFPGALoader: 1
- enjoy-digital/litex: 1
- SymbiFlow/sphinxcontrib-hdl-diagrams: 1
- juananpe/html5liburua: 1
- kevinpt/hdlparse: 1
- ghdl/ghdl-systemc-fosdem16: 1
- rstudio/rticles: 1
- mithro/actions-includes: 1
- upscale-project/pono: 1
- docker/binfmt: 1
- status-im/nim-sqlcipher: 1
- cocotb/cocotb: 1
- olofk/edalize: 1
- marph91/icestick-remote: 1
- chipsalliance/fpga-interchange-tests: 1
- ghdl/ghdl: 1
Maintainer
- ghdl/ghdl-cosim: 38
- VUnit/vunit: 23
- edaa-org/pyEDAA.IPXACT: 8
- umarcor/umarcor: 8
- google/globalfoundries-pdk-libs-gf180mcu_fd_pr: 7
- ghdl/ghdl: 5
- google/skywater-pdk: 5
- SymbiFlow/sphinxcontrib-hdl-diagrams: 2
- umarcor/osvb: 2
- juananpe/html5liburua: 2
- mithro/actions-includes: 1
- enjoy-digital/litex: 1
- ghdl/ghdl-systemc-fosdem16: 1
Active Maintainer
- VUnit/vunit: 1
Issue Author Associations
- Member (22, 33.33%)
- None (21, 31.82%)
- Contributor (12, 18.18%)
- Owner (10, 15.15%)
- Collaborator (1, 1.52%)
Pull Request Author Associations
- Contributor (68, 47.55%)
- Member (55, 38.46%)
- Collaborator (15, 10.49%)
- None (5, 3.50%)
Top Issue Labels
- Enhancement (8)
- enhancement (4)
- Help wanted (4)
- Builtins (4)
- Discussion (4)
- bug (3)
- Breaking change (3)
- CI: GitHub Actions (2)
- Good First Issue (2)
- Interface: VHPIDIRECT (2)
- ThirdParty: OSVVM (2)
- future (1)
- Backend: GCC (1)
- Bug (1)
- FeaReq: VHDL-2008 (generic types) (1)
Top Pull Request Labels
- Enhancement (15)
- Docs (9)
- enhancement (7)
- area/github (5)
- CI (5)
- Breaking change (4)
- documentation (4)
- Builtins (3)
- kind/stale (2)
- kind/documentation (2)
- type-enhancement (2)
- Tool: NVC (2)
- Simulator support (2)
- Interface: VHPIDIRECT (2)
- interesting-but-needs-rework (1)