Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / ghdl / ghdl issue stats

Last synced: 1 day ago

Total issues: 430
Total pull requests: 36
Average time to close issues: 2 months
Average time to close pull requests: about 1 month
Total issue authors: 193
Total pull request authors: 22
Average comments per issue: 3.73
Average comments per pull request: 3.5
Merged pull requests: 18
Bot issues: 0
Bot pull requests: 6

Past year issues: 259
Past year pull requests: 14
Past year average time to close issues: 6 days
Past year average time to close pull requests: 14 days
Past year issue authors: 112
Past year pull request authors: 12
Past year average comments per issue: 3.18
Past year average comments per pull request: 3.21
Past year merged pull requests: 10
Past year bot issues: 0
Past year bot pull requests: 0

More repo stats: https://repos.ecosyste.ms/hosts/GitHub/repositories/ghdl/ghdl
JSON API: https://issues.ecosyste.ms/api/v1/hosts/GitHub/repositories/ghdl%2Fghdl

Issue Author Associations

  • None (357, 83.02%)
  • Contributor (51, 11.86%)
  • Member (21, 4.88%)
  • Collaborator (1, 0.23%)

Pull Request Author Associations

  • Contributor (18, 50.00%)
  • None (10, 27.78%)
  • Member (8, 22.22%)

Top Issue Authors

Top Pull Request Authors


All Maintainers

Active Maintainers


Top Issue Labels

  • Bug (59)
  • <=v2 - Support ended (26)
  • FeaReq: VHDL-2008 (24)
  • Question (20)
  • Feature: Synthesis (18)
  • Backend: LLVM (11)
  • Question: LRM reading (11)
  • Enhancement (10)
  • FeaReq: VHDL-2008 (generic types) (8)
  • FeaReq: VHDL-2008 (external names) (7)
  • Discussion (7)
  • FeaReq: VHDL-2008 (unconst. arrrays/records) (7)
  • Interface: Python (6)
  • OS: Mac OS (5)
  • Feature: PSL (5)
  • Output: GHW (5)
  • Backend: GCC (4)
  • OS: Linux (4)
  • Output: VCD (4)
  • Build: Makefile (4)
  • ThirdParty: Xilinx (3)
  • Interface: VPI (3)
  • Output: FST (3)
  • Backend: mcode (3)
  • Precompile Scripts (3)
  • Documentation: Restructured Text (3)
  • Build: MinGW (Makefile) (3)
  • ThirdParty: Cocotb (3)
  • ThirdParty: OSVVM (2)
  • FeaReq: VHDL-2019 (2)

Top Pull Request Labels

  • Enhancement (9)
  • Interface: Python (7)
  • Dependencies (7)
  • Testsuite: python (2)
  • Documentation: General (2)
  • Documentation: Restructured Text (1)
  • Build: Makefile (1)
  • FeaReq: VHDL-2019 (1)
  • Backend: mcode (1)
  • Build: MinGW (Makefile) (1)
  • Arch: amd64 (1)
  • CI: GitHub Actions (1)
  • Interface: VPI (1)