Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / taichi-ishitani/tvip-axi issues and pull requests

#59 - Request to modify condition in SystemVerilog code for ready/valid signal

Issue - State: closed - Opened by peaceyh1 13 days ago - 3 comments

#57 - master_to_vip_slave

Issue - State: closed - Opened by GreenStealCode 16 days ago - 2 comments

#56 - fix typo

Pull Request - State: closed - Opened by sequencer 5 months ago - 1 comment

#55 - add Metrics DSim simulator support

Pull Request - State: closed - Opened by taichi-ishitani 5 months ago

#54 - Add dsim simulator support

Issue - State: closed - Opened by taichi-ishitani 5 months ago

#53 - Problems with Vivado simulator

Issue - State: open - Opened by Nick1296 5 months ago - 4 comments

#52 - Mismatch issue

Issue - State: closed - Opened by Nathanat77 7 months ago - 8 comments
Labels: bug

#51 - Path example for replacing `uvm_do* macros

Issue - State: closed - Opened by octavsly 9 months ago - 1 comment

#50 - delete response_queue when reset

Pull Request - State: closed - Opened by kkurenkov over 1 year ago

#49 - axi_slave_sub_driver don't reset response_queue

Issue - State: closed - Opened by kkurenkov over 1 year ago - 4 comments
Labels: bug

#48 - where is the "class tvip_delay_configuration"

Issue - State: closed - Opened by sky1993-oppo over 1 year ago - 4 comments

#47 - add areset_n to clocking event of master_cb/slave_cb

Pull Request - State: closed - Opened by taichi-ishitani over 1 year ago

#46 - Problem with On the Fly Reset

Issue - State: closed - Opened by kkurenkov almost 2 years ago - 5 comments
Labels: bug

#45 - Support Veridi Protocol/Performance Analyzer

Issue - State: open - Opened by taichi-ishitani about 2 years ago
Labels: enhancement

#44 - fix *E,EXPRPA error reported by Cadence Xceium simulator

Pull Request - State: closed - Opened by taichi-ishitani about 2 years ago

#43 - Cadence Xcelium doesn't support variable substitution in if-condition

Issue - State: closed - Opened by kazt81 about 2 years ago - 4 comments

#39 - Not an issue but a general question

Issue - State: closed - Opened by neha-pqs over 2 years ago

#38 - Add support to drive W channel before AW

Issue - State: closed - Opened by neha-pqs over 2 years ago - 7 comments

#37 - Support vivado sim

Pull Request - State: closed - Opened by taichi-ishitani over 2 years ago

#36 - add null check to avoid null pointer exception

Pull Request - State: closed - Opened by taichi-ishitani over 2 years ago

#35 - Another NULL pointer dereference after reset in the middle

Issue - State: closed - Opened by kazt81 over 2 years ago - 7 comments
Labels: bug

#34 - Fix issue #33 NULL pointer dereference after the reset in the middle

Pull Request - State: closed - Opened by kazt81 over 2 years ago - 1 comment

#33 - NULL pointer dereference is observed after the reset in the middle

Issue - State: closed - Opened by kazt81 over 2 years ago - 4 comments
Labels: bug

#32 - Support Vivado simulator

Issue - State: open - Opened by taichi-ishitani over 2 years ago - 6 comments
Labels: enhancement

#31 - Change tvip_axi_data typedef from bit to logic to handle 4-state value

Pull Request - State: closed - Opened by kazt81 almost 3 years ago - 6 comments

#30 - Basic setup for local environement

Pull Request - State: closed - Opened by kazt81 almost 3 years ago - 3 comments

#29 - tvip_axi_if.sv interface ports are better to be defined as logic instead of bit

Issue - State: closed - Opened by kazt81 almost 3 years ago - 1 comment

#28 - Error when elab with Vivado

Issue - State: open - Opened by aignacio almost 4 years ago - 9 comments

#26 - feature comparison to commercial VIPs

Issue - State: closed - Opened by simdream almost 4 years ago - 1 comment

#25 - use TVIP-AXI as a component within in_agent

Issue - State: closed - Opened by sfjiang-astri almost 4 years ago - 1 comment

#24 - problem about sequencer

Issue - State: closed - Opened by sfjiang-astri almost 4 years ago - 2 comments

#23 - error on find vif failure

Issue - State: closed - Opened by sfjiang-astri almost 4 years ago - 11 comments
Labels: question

#22 - use tvip-axi as a vip in the project

Issue - State: closed - Opened by sfjiang-astri almost 4 years ago - 28 comments
Labels: question

#21 - xcelium simulator

Issue - State: closed - Opened by sfjiang-astri almost 4 years ago - 20 comments

#20 - Support wvalid preceding awvalid

Pull Request - State: closed - Opened by taichi-ishitani about 4 years ago

#19 - Slave driver data before address support

Issue - State: closed - Opened by GeorgianPencea about 4 years ago
Labels: bug

#18 - ID field for AXI4-Lite

Issue - State: closed - Opened by taichi-ishitani about 4 years ago
Labels: enhancement

#17 - uvm reg adapter supports AXI4 access

Issue - State: open - Opened by taichi-ishitani about 4 years ago
Labels: enhancement

#16 - Add ability to generate non-byte access by reg API

Pull Request - State: open - Opened by klevin92 about 4 years ago - 5 comments

#15 - Fix Xcelium compilation warning

Pull Request - State: closed - Opened by klevin92 about 4 years ago - 1 comment

#14 - /bin/sh: 0: Illegal option -h

Issue - State: closed - Opened by islengxw over 4 years ago - 2 comments

#13 - Open Source Verilog Simulator with This

Issue - State: open - Opened by coder-humbitious almost 5 years ago - 1 comment

#12 - Support AxProt signal

Issue - State: closed - Opened by taichi-ishitani about 5 years ago - 3 comments
Labels: enhancement, good first issue

#11 - Support AxCache signal

Issue - State: closed - Opened by taichi-ishitani about 5 years ago
Labels: enhancement, good first issue

#10 - fixed and wrapping burst support

Issue - State: open - Opened by taichi-ishitani about 5 years ago
Labels: enhancement

#9 - RAL support

Issue - State: closed - Opened by taichi-ishitani about 5 years ago
Labels: enhancement

#8 - AXI4-Lite support

Issue - State: closed - Opened by taichi-ishitani about 5 years ago
Labels: enhancement

#7 - Hi!

Issue - State: closed - Opened by kkurenkov over 5 years ago - 10 comments

#6 - fix #5

Pull Request - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: bug

#5 - 書き込んだデータが読み出せない

Issue - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: bug

#4 - re-wrote random constraints to improve runtime performance (refs #3)

Pull Request - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: enhancement

#3 - ランダム制約の書き直し

Issue - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: enhancement

#2 - xcelium 用の makefile を作る

Issue - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: enhancement

#1 - モニターからの応答要求を取りこぼすことがある

Issue - State: closed - Opened by taichi-ishitani almost 6 years ago
Labels: bug