Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / szaghi/VTKFortran issues and pull requests

#39 - Missing documentation

Issue - State: open - Opened by aamorm about 1 year ago - 1 comment

#37 - Add fpm support

Pull Request - State: closed - Opened by zoziha almost 2 years ago

#36 - Tested with nag compiler

Issue - State: open - Opened by hassaniriad about 2 years ago

#35 - Add VS Project in Windows & ASCII encode improved & dataarray6 interface

Pull Request - State: closed - Opened by hjunqq over 2 years ago - 1 comment

#34 - low performance in vtk_fortran_dataarray_encoder

Issue - State: open - Opened by hjunqq over 2 years ago - 3 comments
Labels: question

#33 - Correct makefile - shared library link *.o files

Pull Request - State: closed - Opened by tkozak over 3 years ago - 1 comment

#32 - pvtu (unstructured)

Issue - State: open - Opened by AliE89 almost 4 years ago - 4 comments
Labels: question

#31 - General polyhedrons handling

Issue - State: open - Opened by AliE89 almost 4 years ago - 20 comments
Labels: enhancement

#30 - xml_writer%write_geo does not write the points section if nc>np

Issue - State: closed - Opened by AliE89 over 4 years ago - 14 comments
Labels: bug, question

#29 - dependencies Lib_Base64.f90 and IR_precision.f90

Issue - State: closed - Opened by marc-git over 4 years ago - 3 comments
Labels: question

#28 - fix: makefile compilation

Pull Request - State: closed - Opened by he-b over 4 years ago - 1 comment

#27 - No rule to build 'src/third_party/BeFoR64/src/lib/befor64.F90'

Issue - State: closed - Opened by fran-pena almost 5 years ago - 5 comments
Labels: bug

#26 - penf library files missing inside "/src/third_party/BeFoR64"

Issue - State: open - Opened by AliE89 almost 5 years ago - 17 comments
Labels: bug

#25 - VTM hierarchy

Issue - State: open - Opened by konokadam about 5 years ago - 1 comment
Labels: enhancement

#24 - binary UnstructuredGrid is incomplete under ifort

Issue - State: closed - Opened by RBCanelas about 6 years ago - 3 comments
Labels: bug

#23 - Error when compiling

Issue - State: closed - Opened by j-machacek about 6 years ago - 3 comments

#22 - VTM support is currently lost

Issue - State: closed - Opened by szaghi almost 8 years ago - 1 comment
Labels: enhancement

#21 - Compile error

Issue - State: closed - Opened by dharp almost 8 years ago - 11 comments
Labels: bug, question

#20 - OOP Refactoring

Issue - State: open - Opened by szaghi over 8 years ago - 26 comments
Labels: enhancement

#19 - Error when linking LIB_VTK_IO

Issue - State: closed - Opened by nourimdafer over 8 years ago - 5 comments

#18 - problems with makefile

Issue - State: closed - Opened by vq200 over 8 years ago - 5 comments

#17 - Add doctests

Issue - State: open - Opened by szaghi almost 9 years ago
Labels: enhancement

#17 - Add doctests

Issue - State: open - Opened by szaghi almost 9 years ago
Labels: enhancement

#16 - Makefile is broken

Issue - State: closed - Opened by whophil about 9 years ago - 7 comments

#15 - Tensor data?

Issue - State: closed - Opened by brownto about 9 years ago - 4 comments

#14 - Pvd importers

Pull Request - State: closed - Opened by victorsndvg over 9 years ago

#13 - Add a Gitter chat badge to README.md

Pull Request - State: closed - Opened by gitter-badger over 9 years ago

#12 - Avoid usefulness `implicit none` everywhere

Issue - State: closed - Opened by szaghi over 9 years ago - 1 comment

#11 - Makefile bugged!

Issue - State: closed - Opened by szaghi over 9 years ago - 3 comments

#10 - extend support to other vtk types

Issue - State: open - Opened by szaghi over 9 years ago
Labels: ready

#9 - XML-efficiency

Issue - State: open - Opened by szaghi over 9 years ago
Labels: bug

#8 - Ford Documentation

Issue - State: closed - Opened by szaghi over 9 years ago
Labels: enhancement

#7 - waffle.io Badge

Pull Request - State: closed - Opened by waffle-iron almost 10 years ago

#6 - Gfortran warnings (might be over sensitive)

Issue - State: closed - Opened by MarDiehl over 10 years ago - 1 comment
Labels: question

#5 - Gfortran 4.7.3 allocation

Issue - State: closed - Opened by MarDiehl almost 11 years ago - 3 comments
Labels: bug

#4 - Base64

Issue - State: closed - Opened by szaghi over 11 years ago
Labels: enhancement

#3 - Doxygen Warnings

Issue - State: closed - Opened by szaghi over 11 years ago
Labels: bug

#2 - Importers

Issue - State: open - Opened by szaghi over 11 years ago - 15 comments
Labels: enhancement, in progress

#1 - Huge Dimensions

Issue - State: open - Opened by szaghi over 11 years ago
Labels: enhancement, ready