Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / suoto/hdl_checker issues and pull requests

#112 - Question: Are the cache.json files generated safe to publish?

Issue - State: open - Opened by TheDinner22 3 months ago - 2 comments

#111 - Update versioneer.py to work with python 3.9

Pull Request - State: open - Opened by mahmoud-amiri 4 months ago

#110 - Modify config parsing to support python 3.12

Pull Request - State: open - Opened by teatkin 4 months ago

#109 - not support Python 3.12

Issue - State: open - Opened by laciel-chin 6 months ago - 1 comment

#108 - Update Emacs section of README.md

Pull Request - State: open - Opened by gmlarumbe 9 months ago

#107 - Update README.md Added mason.nvim to supported vim lsp managers

Pull Request - State: open - Opened by fred441a 10 months ago

#106 - [feature] Include modelsim's vsim for linter

Issue - State: open - Opened by ungultig1 12 months ago

#104 - [feature] create a .gitignore in .hdl_checker

Issue - State: open - Opened by Freed-Wu about 1 year ago

#103 - [feature] format code

Issue - State: open - Opened by Freed-Wu about 1 year ago

#102 - [feature] use shtab

Issue - State: open - Opened by Freed-Wu about 1 year ago

#101 - Update pygls version

Pull Request - State: open - Opened by Freed-Wu about 1 year ago

#100 - Add vivado vlog support

Pull Request - State: open - Opened by fr89k over 1 year ago - 1 comment

#99 - Remove typing dependency

Issue - State: open - Opened by maximdeclercq about 2 years ago

#98 - Fix nvim lsp

Pull Request - State: closed - Opened by bramhooimeijer over 2 years ago - 2 comments

#97 - hdl_checker appears as not running

Issue - State: closed - Opened by fgr1986 almost 3 years ago - 1 comment

#95 - [Doc] Use pip3 instead of pip in instructions

Issue - State: closed - Opened by Nabav about 3 years ago - 1 comment

#94 - updates nvim-lsp section of readme

Pull Request - State: closed - Opened by SethGower about 3 years ago - 1 comment

#93 - NeoVim Native LSP Configuration errors

Issue - State: closed - Opened by SethGower about 3 years ago - 2 comments

#92 - Empty Range for Diagnostics

Issue - State: closed - Opened by SethGower about 3 years ago - 5 comments

#91 - Verilog/System Verilog support in Emacs lsp-mode

Issue - State: open - Opened by sazam0 about 3 years ago - 2 comments

#90 - New Files not being added to the Project

Issue - State: open - Opened by SethGower about 3 years ago

#89 - Error on Ubuntu 16.04 with Python 3.5

Issue - State: closed - Opened by Tcenova over 3 years ago - 1 comment

#88 - pygls.features no longer exists

Issue - State: closed - Opened by benjaminmordaunt over 3 years ago - 2 comments
Labels: bug

#86 - [Question] Managing component dependencies and more

Issue - State: open - Opened by pidgeon777 over 3 years ago - 1 comment

#84 - Add support for .f file lists

Issue - State: open - Opened by DeeeeLAN about 4 years ago - 1 comment
Labels: enhancement

#83 - No module named 'six' on attempted launch

Issue - State: closed - Opened by DeeeeLAN about 4 years ago - 2 comments
Labels: bug, ready

#82 - Add command line option for choosing builder

Issue - State: open - Opened by rafaelnp over 4 years ago - 1 comment

#81 - Is it possible to navigate to components source?

Issue - State: closed - Opened by jagjordi over 4 years ago - 1 comment
Labels: question

#80 - Source specific flags are not used when compiling the source as a dependency

Issue - State: closed - Opened by suoto over 4 years ago
Labels: bug

#79 - GHDL v0.37-dev doesn't work

Issue - State: closed - Opened by suoto over 4 years ago - 1 comment
Labels: bug

#78 - Incorrect compiler priority when multiple compilers are available on the path

Issue - State: closed - Opened by suoto over 4 years ago
Labels: bug

#77 - Initialization should not suggest a project file when it's already using one

Issue - State: closed - Opened by suoto almost 5 years ago
Labels: bug

#76 - What should be the name of the .json file?

Issue - State: closed - Opened by antoinemadec almost 5 years ago - 3 comments

#75 - Type DefaultDict cannot be instantiated;

Issue - State: closed - Opened by kdurant almost 5 years ago - 9 comments
Labels: info needed

#74 - Invalidate cache file on version change

Issue - State: closed - Opened by suoto almost 5 years ago
Labels: bug

#73 - hdl checker on termux

Issue - State: closed - Opened by SteBeer almost 5 years ago - 8 comments
Labels: bug

#72 - Windows 10 FileNotFoundError without GIT installed

Issue - State: closed - Opened by wcumby almost 5 years ago - 1 comment

#71 - Setup HOWTO. Compilers' default flags.

Issue - State: closed - Opened by nicdes almost 5 years ago - 2 comments

#70 - [PATCH] KeyError bug fixed in rebuildProject().

Issue - State: closed - Opened by nicdes almost 5 years ago

#69 - [PATCH] The json name for the builder is "builder_name" and not "builder".

Issue - State: closed - Opened by nicdes almost 5 years ago - 1 comment

#68 - Add mixed language support using Vivado

Issue - State: open - Opened by suoto almost 5 years ago
Labels: enhancement, help wanted

#67 - Add support for verilator

Issue - State: open - Opened by suoto almost 5 years ago - 13 comments
Labels: enhancement, help wanted

#66 - Add support for iverilog

Issue - State: open - Opened by suoto almost 5 years ago
Labels: enhancement, help wanted

#65 - Verilog error not detected

Issue - State: closed - Opened by rafaelnp almost 5 years ago - 1 comment

#64 - Project files

Issue - State: closed - Opened by rafaelnp almost 5 years ago - 3 comments

#63 - Bug in version checking

Issue - State: closed - Opened by chrbirks almost 5 years ago - 1 comment
Labels: bug

#62 - Added to Emacs lsp-mode

Issue - State: closed - Opened by chrbirks almost 5 years ago - 5 comments

#61 - Report paths not built as a diagnostic

Issue - State: closed - Opened by suoto almost 5 years ago
Labels: enhancement

#60 - Paths were not built

Issue - State: closed - Opened by chrbirks almost 5 years ago - 5 comments
Labels: question

#59 - Added mentioned in awesome list badge!

Pull Request - State: closed - Opened by rajesh-s almost 5 years ago - 4 comments

#58 - Add support for LSP DiagnosticRelatedInformation

Issue - State: open - Opened by suoto almost 5 years ago - 1 comment
Labels: enhancement

#57 - Move language server implementation to pygls

Issue - State: closed - Opened by suoto almost 5 years ago
Labels: enhancement, in progress

#56 - How to add unisim library?

Issue - State: closed - Opened by fransschreuder almost 5 years ago - 3 comments
Labels: question

#55 - Use .gitconfig, .svnconfig, etc to filter out files when adding sources

Issue - State: closed - Opened by suoto almost 5 years ago - 1 comment
Labels: enhancement

#54 - Support for regex in sources

Issue - State: closed - Opened by chrbirks almost 5 years ago - 4 comments
Labels: enhancement

#53 - Server should also search for files when config file has no sources

Issue - State: closed - Opened by suoto almost 5 years ago - 1 comment
Labels: enhancement

#52 - Wrong dependency error

Issue - State: closed - Opened by skaupper almost 5 years ago - 3 comments
Labels: bug

#51 - Project file detection

Issue - State: closed - Opened by skaupper almost 5 years ago - 4 comments
Labels: question

#50 - Add typing hints

Pull Request - State: closed - Opened by suoto almost 5 years ago

#49 - Can't get messages when source has non standard characters

Issue - State: closed - Opened by suoto about 5 years ago
Labels: bug

#48 - Unstable

Pull Request - State: closed - Opened by suoto about 5 years ago

#47 - Checking a file from text content fails to include correct build flags

Issue - State: closed - Opened by suoto about 5 years ago - 1 comment
Labels: bug

#46 - Improve dependency reporting

Pull Request - State: closed - Opened by suoto about 5 years ago
Labels: enhancement

#45 - Move to pypi

Pull Request - State: closed - Opened by suoto about 5 years ago - 1 comment

#44 - Lsp support

Pull Request - State: closed - Opened by suoto about 5 years ago - 1 comment
Labels: enhancement

#43 - Streamline project file creation

Pull Request - State: closed - Opened by suoto over 5 years ago - 2 comments

#42 - Language server protocol support

Issue - State: closed - Opened by abyszuk over 5 years ago - 5 comments
Labels: enhancement

#41 - Maintaining project file

Issue - State: closed - Opened by m-kru almost 6 years ago - 11 comments
Labels: enhancement, question

#40 - Help to design the low-level, LLVM-like universal HDL language

Issue - State: closed - Opened by XVilka almost 6 years ago - 1 comment
Labels: question

#39 - Fix hdlcc installation using setup tools

Pull Request - State: closed - Opened by PaulWebbster about 6 years ago - 1 comment

#38 - Update setup.py

Pull Request - State: closed - Opened by green2718 almost 7 years ago - 1 comment

#37 - Fixing some issues with as-you-type checks

Pull Request - State: closed - Opened by suoto over 7 years ago - 1 comment

#36 - Merging Unstable into master

Pull Request - State: closed - Opened by suoto over 7 years ago - 1 comment

#35 - Helper tools to autogenerate PRJ config from other file formats

Issue - State: closed - Opened by abyszuk over 7 years ago - 3 comments
Labels: question

#34 - Add as you type check

Pull Request - State: closed - Opened by suoto almost 8 years ago - 1 comment

#33 - Add python3 support

Pull Request - State: closed - Opened by suoto almost 8 years ago - 1 comment

#32 - Unstable

Pull Request - State: closed - Opened by suoto almost 8 years ago - 1 comment

#31 - Compilation algorithm change

Pull Request - State: closed - Opened by suoto almost 8 years ago - 1 comment

#30 - Issue 25 change project cache dir

Pull Request - State: closed - Opened by suoto about 8 years ago - 1 comment

#29 - Issue 3 verilog support

Pull Request - State: closed - Opened by suoto about 8 years ago - 1 comment

#28 - Fix ghdl vunit

Pull Request - State: closed - Opened by suoto over 8 years ago - 1 comment

#27 - Issue 2 reload config file at runtime

Pull Request - State: closed - Opened by suoto over 8 years ago - 2 comments

#26 - Add vunit support

Pull Request - State: closed - Opened by suoto over 8 years ago - 3 comments

#25 - Project cache should be placed along the build folder

Issue - State: closed - Opened by suoto over 8 years ago - 2 comments
Labels: enhancement

#24 - Add auto detection/selection of the compiler/simulator

Issue - State: closed - Opened by suoto over 8 years ago
Labels: enhancement

#23 - Refactor project builder

Pull Request - State: closed - Opened by suoto over 8 years ago - 1 comment

#22 - Add support for Vunit

Issue - State: closed - Opened by suoto over 8 years ago
Labels: enhancement

#21 - Provide alternative for syntax check of files not found on project file

Issue - State: closed - Opened by suoto over 8 years ago - 1 comment
Labels: enhancement

#20 - Add static check for 'work' as a library

Issue - State: closed - Opened by suoto over 8 years ago
Labels: enhancement

#19 - Unable to cancel standalone build with Ctrl+C

Issue - State: closed - Opened by suoto over 8 years ago - 3 comments
Labels: bug

#18 - Unable to add builder options with format "<option> <value>"

Issue - State: closed - Opened by suoto over 8 years ago - 1 comment
Labels: bug

#17 - Issue 4 fix project persistency

Pull Request - State: closed - Opened by suoto over 8 years ago - 27 comments

#16 - hdlcc standalone profiling not useful

Issue - State: closed - Opened by suoto over 8 years ago - 1 comment
Labels: enhancement

#15 - Logging fine tunning

Pull Request - State: closed - Opened by suoto over 8 years ago - 3 comments

#14 - hdlcc running on a different process

Issue - State: closed - Opened by suoto over 8 years ago - 2 comments
Labels: enhancement

#13 - Editor slow down due to parsing of config file and sources

Issue - State: closed - Opened by suoto over 8 years ago - 1 comment
Labels: bug, duplicate

#12 - Add windows support

Pull Request - State: closed - Opened by suoto over 8 years ago - 9 comments