Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / skypjack/uvw issues and pull requests

#314 - build(meson): bump libuv to 1.48.0

Pull Request - State: closed - Opened by brenfwd about 2 months ago - 1 comment

#313 - Reference uvw_net in README

Pull Request - State: closed - Opened by mincequi about 2 months ago

#312 - Run a task on next event loop iteration

Issue - State: closed - Opened by mincequi 2 months ago - 2 comments
Labels: question

#311 - uvw_net available

Issue - State: closed - Opened by mincequi 3 months ago - 8 comments
Labels: documentation

#310 - Question: Pipe process output

Issue - State: closed - Opened by mathisloge 4 months ago - 1 comment
Labels: invalid, question

#309 - Issuing multiple filesystem operations at a time with user supplied buffer

Issue - State: closed - Opened by ReimuNotMoe 7 months ago - 2 comments
Labels: triage

#308 - Error when building on Windows - multiple rules generate _deps/libuv-build/uv.lib

Issue - State: closed - Opened by kenkopelson 7 months ago - 4 comments
Labels: triage

#307 - Document suggested cleanup approach

Issue - State: closed - Opened by rconde01 8 months ago - 9 comments
Labels: triage

#306 - build: add initial meson support

Pull Request - State: closed - Opened by brenfwd 9 months ago - 10 comments
Labels: build system

#305 - Build issues

Issue - State: closed - Opened by R2Sam 9 months ago - 5 comments
Labels: invalid

#304 - Check for GCC/Clang compiler warnings in Ubuntu GitHub Actions CI

Pull Request - State: closed - Opened by aloisklink 10 months ago - 5 comments
Labels: build system

#303 - uv_type: make `~uv_type()` destructor protected

Pull Request - State: closed - Opened by aloisklink 10 months ago - 2 comments
Labels: triage

#302 - Fix compiler warnings in `test` directory

Pull Request - State: closed - Opened by aloisklink 10 months ago - 1 comment
Labels: enhancement

#301 - type_info: avoid gcc-7 `-Wsign-conversion warning`

Pull Request - State: closed - Opened by aloisklink 10 months ago
Labels: enhancement

#300 - tty: remove unnecessary semi-colon

Pull Request - State: closed - Opened by aloisklink 10 months ago
Labels: enhancement

#299 - pipe: hide `uvw::pipe_handle::connect()` `-Wsign-conversion` warning

Pull Request - State: closed - Opened by aloisklink 10 months ago
Labels: enhancement

#298 - util: fix `-Wsign-conversion` in ip_addr()

Pull Request - State: closed - Opened by aloisklink 10 months ago - 4 comments
Labels: triage

#297 - loop: rename variable to fix `-Wshadow` warning

Pull Request - State: closed - Opened by aloisklink 10 months ago
Labels: enhancement

#296 - uv_type: supress `-Werror=unused` compiler error

Pull Request - State: closed - Opened by aloisklink 11 months ago - 4 comments
Labels: enhancement

#295 - Fix minor typo in CMakeLists.txt

Pull Request - State: closed - Opened by ReimuNotMoe 11 months ago
Labels: documentation

#294 - suppress compiler warning

Issue - State: closed - Opened by falsycat 11 months ago - 8 comments
Labels: triage

#293 - Q: cpp http server based on libuv

Issue - State: closed - Opened by overthetop 11 months ago - 3 comments
Labels: question

#292 - Segmentation fault on calling thread.join due to destructor also calling thread.join

Issue - State: open - Opened by wirepair 12 months ago - 5 comments
Labels: triage

#291 - How to Handle a Broken Pipe (SIGPIPE) in UVW?

Issue - State: closed - Opened by juliancnn about 1 year ago - 2 comments
Labels: question

#290 - Provide custom read buffer allocators for handle objects

Pull Request - State: closed - Opened by MasterLogick about 1 year ago

#289 - Provide a way to specify buffer size

Issue - State: closed - Opened by ReimuNotMoe about 1 year ago - 5 comments
Labels: enhancement

#288 - fix undefined uint32_t error on 2.12.1 under GCC 13

Pull Request - State: closed - Opened by fcelda about 1 year ago - 1 comment

#287 - create v2 branch for bug fixes

Issue - State: closed - Opened by fcelda about 1 year ago - 4 comments
Labels: discussion

#286 - uvw_fs_event and uvw_loop fail on Darwin PPC

Issue - State: closed - Opened by barracuda156 about 1 year ago - 5 comments
Labels: triage

#285 - Is there 2.x backward compatibility layer?

Issue - State: closed - Opened by pemensik about 1 year ago - 15 comments
Labels: question

#284 - Vcpkg uvw version 2.12.1

Issue - State: closed - Opened by andrey231 about 1 year ago - 1 comment
Labels: invalid

#283 - 48 error

Issue - State: closed - Opened by andrey231 about 1 year ago - 1 comment
Labels: documentation

#282 - loop::run not marked inline

Issue - State: closed - Opened by mfrg about 1 year ago - 1 comment
Labels: enhancement

#281 - Fix compile errors caused by ambiguous naming of poll_event in poll_handle

Pull Request - State: closed - Opened by ReimuNotMoe about 1 year ago - 2 comments
Labels: enhancement

#280 - fix ambiguous overloaded from c++20 with explicit cast

Pull Request - State: closed - Opened by Ender-events about 1 year ago - 7 comments
Labels: triage

#279 - Error in .vtu Files with hexahedron type of elements

Issue - State: closed - Opened by nameless2342 about 1 year ago - 1 comment
Labels: invalid

#278 - fix missing const in equality relation for C++23

Pull Request - State: closed - Opened by jopadan about 1 year ago - 3 comments
Labels: triage

#277 - Do not fail unit test because cpu speed 0

Pull Request - State: closed - Opened by pemensik over 1 year ago
Labels: enhancement

#276 - ErrorCode EPIPE -4047 Broken Pipe

Issue - State: closed - Opened by killghost over 1 year ago - 2 comments
Labels: invalid

#275 - avoid cmake complaining about using VERSION keyword

Pull Request - State: closed - Opened by stefanofiorentino over 1 year ago - 1 comment
Labels: build system

#274 - Add Vcpkg badge

Pull Request - State: closed - Opened by njakob over 1 year ago
Labels: documentation

#273 - Include cstdint where uintX_t is used

Pull Request - State: closed - Opened by pemensik over 1 year ago - 1 comment
Labels: enhancement

#272 - Cannot get access to online document

Issue - State: closed - Opened by ScSofts over 1 year ago - 1 comment
Labels: documentation

#271 - set workflows with only default compiler packages

Pull Request - State: closed - Opened by stefanofiorentino over 1 year ago - 6 comments

#270 - Failing workflows as github changed several compilers from ubuntu machines.

Issue - State: closed - Opened by stefanofiorentino over 1 year ago - 6 comments
Labels: build system

#269 - shared object versioning (mirroring the behaviour of autotools)

Pull Request - State: closed - Opened by stefanofiorentino over 1 year ago
Labels: build system, static-shared-libs, portability

#268 - The library targets are not versioned.

Issue - State: closed - Opened by stefanofiorentino over 1 year ago - 7 comments
Labels: build system, static-shared-libs, portability

#267 - I need the way to set buffer when I read from stream

Issue - State: closed - Opened by hihig2001 almost 2 years ago - 5 comments
Labels: duplicate

#266 - Add static lib when building with system's static libuv

Pull Request - State: closed - Opened by darktohka about 2 years ago - 12 comments
Labels: triage

#265 - Capturing SIGINT

Issue - State: closed - Opened by smalls12 about 2 years ago - 8 comments
Labels: triage

#264 - export `uvwConfig` to build a uvw submodule statically

Pull Request - State: closed - Opened by moodyhunter about 2 years ago
Labels: enhancement

#263 - UVW v3

Pull Request - State: closed - Opened by skypjack about 2 years ago - 24 comments
Labels: enhancement, help wanted, discussion

#262 - UDP send after connect not possible

Issue - State: open - Opened by tlbtlbtlb about 2 years ago - 1 comment
Labels: enhancement

#261 - type_info always returning same value

Issue - State: closed - Opened by stefan-baranoff over 2 years ago - 3 comments
Labels: triage

#260 - documentation license is vague

Issue - State: closed - Opened by pemensik over 2 years ago - 4 comments
Labels: documentation

#259 - Non-UTF-8 characters in type_info.hpp

Issue - State: closed - Opened by boris-kolpackov over 2 years ago - 2 comments
Labels: enhancement

#258 - Update to libuv v1.43

Issue - State: closed - Opened by skypjack over 2 years ago - 2 comments
Labels: enhancement

#257 - How to handle an existing UNIX socket?

Issue - State: closed - Opened by nmkoremblum over 2 years ago - 4 comments
Labels: question

#256 - How to retrieve ErrorEvent info?

Issue - State: closed - Opened by nmkoremblum over 2 years ago - 1 comment
Labels: question

#255 - How to handle the data if send fails.

Issue - State: closed - Opened by pengweichu almost 3 years ago - 12 comments
Labels: question

#254 - Does uvw is thread-safe?

Issue - State: closed - Opened by pengweichu almost 3 years ago - 4 comments
Labels: question

#253 - Support dynamic linkage of tests

Pull Request - State: closed - Opened by pemensik almost 3 years ago - 36 comments
Labels: build system

#252 - Failures in unit tests on some platforms

Issue - State: closed - Opened by pemensik almost 3 years ago - 5 comments
Labels: triage

#251 - If send UDP as async, does the uvw will queue message automatically?

Issue - State: closed - Opened by portsip almost 3 years ago - 2 comments
Labels: question

#250 - Update uvw to libuv 1.42 (close #249)

Pull Request - State: closed - Opened by skypjack almost 3 years ago - 2 comments

#249 - Update uvw to libuv 1.42.0

Issue - State: closed - Opened by skypjack almost 3 years ago - 1 comment
Labels: enhancement

#248 - ctest error : uvw_util faild

Issue - State: closed - Opened by fubingting almost 3 years ago - 1 comment
Labels: invalid

#247 - fixed a typo in conanfile.py

Pull Request - State: closed - Opened by moodyhunter almost 3 years ago
Labels: build system

#246 - + improve CMake-based package config files.

Pull Request - State: closed - Opened by moodyhunter about 3 years ago - 17 comments
Labels: build system

#245 - CMake package configuration files for uvw

Issue - State: closed - Opened by moodyhunter about 3 years ago - 4 comments
Labels: build system

#243 - TCPHandle custom.

Issue - State: closed - Opened by cmachacacordova about 3 years ago - 5 comments
Labels: triage

#242 - Removing the monostate pattern from uvw.

Pull Request - State: closed - Opened by stefanofiorentino about 3 years ago
Labels: enhancement

#241 - Uninitialized variable

Issue - State: closed - Opened by escherstair over 3 years ago
Labels: enhancement

#240 - Add compatibility for using uvw with older libuv versions

Pull Request - State: closed - Opened by jagerman over 3 years ago - 10 comments
Labels: triage

#239 - loop->walk example doesn't compile

Issue - State: closed - Opened by jagerman over 3 years ago - 3 comments
Labels: enhancement

#238 - Possibly unnecessary std::string copy?

Issue - State: closed - Opened by fireice-uk over 3 years ago - 3 comments
Labels: enhancement

#237 - Update to libuv v1.41

Issue - State: closed - Opened by skypjack over 3 years ago

#236 - PollHandle's private fd masks Handle's fd()

Issue - State: closed - Opened by jagerman over 3 years ago - 4 comments
Labels: enhancement

#235 - Support for recvmmsg seems to be broken

Issue - State: closed - Opened by sourexx over 3 years ago - 7 comments
Labels: triage

#234 - Found a bug in TimerHandle

Issue - State: closed - Opened by rmalizia44 over 3 years ago - 2 comments
Labels: invalid

#233 - h2 http server crash always

Issue - State: closed - Opened by sponner over 3 years ago - 1 comment
Labels: invalid

#232 - do you try to merge uvw into nodejs source code, and build it?

Issue - State: closed - Opened by anlexN over 3 years ago - 8 comments
Labels: question

#231 - Give the option to build uvw as lib without fetching the libuv dependency

Issue - State: closed - Opened by stefanofiorentino over 3 years ago - 11 comments
Labels: enhancement, discussion, build system

#230 - Shadow warning in fs.h

Issue - State: closed - Opened by stefanofiorentino over 3 years ago - 2 comments
Labels: enhancement, build system

#229 - SSL/TLS support

Issue - State: closed - Opened by bsergean over 3 years ago - 6 comments
Labels: triage

#228 - Make it easier to tcp connect to a remote host (with dns resolution)

Issue - State: closed - Opened by bsergean over 3 years ago - 11 comments
Labels: triage

#227 - Undeclared uv enum values.

Issue - State: closed - Opened by Yuhanun over 3 years ago - 4 comments
Labels: triage

#226 - [CLang] error: constexpr variable must be initialized by a constant expression

Issue - State: closed - Opened by vladfaust over 3 years ago - 3 comments
Labels: bug

#225 - Custom allocator experiment

Pull Request - State: closed - Opened by darsvador over 3 years ago - 12 comments
Labels: triage

#224 - refactor the `test' includes

Pull Request - State: closed - Opened by stefanofiorentino over 3 years ago

#223 - [Feature request] A customizable allocate memory function

Issue - State: closed - Opened by darsvador over 3 years ago - 9 comments
Labels: triage

#222 - Avoid instantiations as header-only config is used.

Pull Request - State: closed - Opened by stefanofiorentino over 3 years ago

#221 - Avoid instantiations as header-only config is used.

Issue - State: closed - Opened by stefanofiorentino over 3 years ago
Labels: bug, static-shared-libs

#220 - Update to libuv v1.40

Issue - State: closed - Opened by skypjack almost 4 years ago
Labels: enhancement

#219 - Update to libuv 1.39

Issue - State: closed - Opened by skypjack almost 4 years ago
Labels: enhancement

#218 - High-level components on top of uvw

Issue - State: closed - Opened by scherepanov almost 4 years ago - 9 comments
Labels: triage

#217 - uvw::TCPHandle::clear will also clear internal callbacks

Issue - State: closed - Opened by blattersturm almost 4 years ago - 7 comments
Labels: triage

#216 - Fix -Wc++11-narrowing error/warning

Pull Request - State: closed - Opened by gallexme almost 4 years ago
Labels: enhancement

#215 - fix undefined behavior of Loop::walk

Pull Request - State: closed - Opened by darsvador almost 4 years ago - 3 comments
Labels: triage