Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / siliconcompiler/zerosoc issues and pull requests

#44 - fix floorplan

Pull Request - State: closed - Opened by gadfort 4 months ago

#43 - increase SC version

Pull Request - State: closed - Opened by gadfort 4 months ago

#42 - Fix yosys

Pull Request - State: closed - Opened by gadfort 4 months ago

#41 - update lambdalib

Pull Request - State: closed - Opened by gadfort 4 months ago

#40 - add setup function to hier

Pull Request - State: closed - Opened by gadfort 5 months ago

#39 - Bump the actions group with 2 updates

Pull Request - State: closed - Opened by dependabot[bot] 5 months ago
Labels: dependencies

#38 - add dependabot

Pull Request - State: closed - Opened by gadfort 5 months ago

#37 - switch to use lambdalib for padring

Pull Request - State: closed - Opened by gadfort 6 months ago

#36 - Packages

Pull Request - State: closed - Opened by gadfort 7 months ago

#35 - add missing package information

Pull Request - State: closed - Opened by gadfort 7 months ago

#34 - convert ZeroSOC to use packages for file management

Pull Request - State: closed - Opened by gadfort 8 months ago

#33 - ensure blackboxes are not referenced as inputs

Pull Request - State: closed - Opened by gadfort 8 months ago

#32 - Reuse docker image

Pull Request - State: closed - Opened by troibe 10 months ago - 2 comments

#31 - fix lef generation and bump runtimes

Pull Request - State: closed - Opened by gadfort 10 months ago - 1 comment

#30 - remove stray max_transitions from SRAM model

Pull Request - State: closed - Opened by gadfort 11 months ago - 1 comment

#29 - psm: add nets to ignore for PSM

Pull Request - State: closed - Opened by gadfort 12 months ago - 1 comment

#28 - fix pad ring for updated OpenROAD version

Pull Request - State: closed - Opened by gadfort about 1 year ago

#27 - update clk and remove dpo bypass as it is no longer needed

Pull Request - State: closed - Opened by gadfort about 1 year ago

#26 - extend timeout for CI runs

Pull Request - State: closed - Opened by gadfort about 1 year ago

#25 - Fix CI and add test for SC via pip

Pull Request - State: closed - Opened by gadfort about 1 year ago

#24 - Add CI and fix -ydir import for surelog

Pull Request - State: closed - Opened by gadfort over 1 year ago - 1 comment

#23 - Update sc

Pull Request - State: closed - Opened by gadfort over 1 year ago

#22 - klayout export error

Issue - State: closed - Opened by cabreraam almost 2 years ago - 3 comments

#21 - Update library 'copy' field so the build works with the remote flow

Pull Request - State: closed - Opened by WRansohoff about 2 years ago - 1 comment

#20 - DRC errors in core with newer OpenROAD

Issue - State: closed - Opened by nmoroze over 2 years ago - 1 comment

#19 - RFC: Using FuseSoC for source management of ZeroSoC

Pull Request - State: closed - Opened by olofk over 2 years ago - 2 comments

#18 - Updates for SC v0.2.0

Pull Request - State: closed - Opened by nmoroze over 2 years ago - 1 comment

#17 - yosys can't find random.mem

Issue - State: closed - Opened by olofk over 2 years ago - 3 comments

#16 - Add siliconcompiler to python-requirements.txt

Pull Request - State: closed - Opened by olofk over 2 years ago - 1 comment

#15 - Use riscv-objcopy to dump mem file

Issue - State: open - Opened by nmoroze over 2 years ago
Labels: enhancement

#14 - README install

Issue - State: closed - Opened by aolofsson over 2 years ago - 1 comment

#13 - OpenROAD AP check performance issue

Issue - State: closed - Opened by nmoroze almost 3 years ago - 4 comments

#12 - Skipping CTS

Issue - State: closed - Opened by nmoroze almost 3 years ago - 2 comments

#11 - Make sure FPGA flow still works

Issue - State: closed - Opened by nmoroze almost 3 years ago - 1 comment

#10 - doc: Capture requirements and toolchain link

Pull Request - State: closed - Opened by zarubaf about 3 years ago - 1 comment

#9 - Write up tutorial based on ZeroSoC

Issue - State: closed - Opened by nmoroze about 3 years ago - 1 comment

#8 - Run code from Icebreaker onboard flash

Issue - State: open - Opened by nmoroze about 3 years ago
Labels: enhancement

#7 - Audit warnings

Issue - State: open - Opened by nmoroze about 3 years ago

#6 - Add documentation

Issue - State: closed - Opened by nmoroze about 3 years ago

#5 - Incorporate additional modules

Issue - State: open - Opened by nmoroze about 3 years ago
Labels: enhancement

#4 - ASIC build flow

Issue - State: closed - Opened by nmoroze about 3 years ago

#3 - Fix `prim_clock_gating`

Issue - State: closed - Opened by nmoroze about 3 years ago - 1 comment

#2 - Improve SW toolchain

Issue - State: closed - Opened by nmoroze about 3 years ago

#1 - Reduce FPGA resource utilization

Issue - State: open - Opened by nmoroze about 3 years ago - 1 comment
Labels: enhancement