Ecosyste.ms: Issues
An open API service for providing issue and pull request metadata for open source projects.
GitHub / pyuvm/pyuvm issues and pull requests
#240 - fix: name duplication in PyuvmFormatter
Pull Request -
State: closed - Opened by PabloSML 23 days ago
#239 - PyuvmFormatter is not multi-handler friendly
Issue -
State: closed - Opened by PabloSML about 1 month ago
- 4 comments
#238 - When using vcs to simulate the TinyAlu example code, I found that the simulation does not end automatically.
Issue -
State: closed - Opened by XDH-233 about 2 months ago
#237 - When using vcs to simulate the TinyAlu example code, I found that the simulation does not end automatically.
Issue -
State: closed - Opened by XDH-233 about 2 months ago
#236 - fix: flake8 in s20_uvm_reg.py
Pull Request -
State: closed - Opened by hurisson about 2 months ago
- 1 comment
#235 - fix: flake8 in s20_uvm_reg.py
Pull Request -
State: closed - Opened by hurisson about 2 months ago
#234 - fix: flake8 style in s20_uvm_reg.py
Pull Request -
State: closed - Opened by hurisson about 2 months ago
#233 - Await task directly, instead of await'ing Join
Pull Request -
State: closed - Opened by aelmahmoudy about 2 months ago
- 1 comment
#232 - FutureWarning: `await`ing a Join trigger will return the Join trigger and not the result of the joined Task in 2.0
Issue -
State: closed - Opened by aelmahmoudy about 2 months ago
- 1 comment
#231 - Remove execute permission from Makefile & VHDL files
Pull Request -
State: closed - Opened by aelmahmoudy about 2 months ago
- 1 comment
#230 - Rename module in ext_classes test
Pull Request -
State: closed - Opened by aelmahmoudy about 2 months ago
- 1 comment
#229 - Fails during test
Issue -
State: closed - Opened by aelmahmoudy about 2 months ago
#227 - add_submap() and add_parent_map() class in s21_uvm_reg_map.py are broken
Issue -
State: open - Opened by bstei1010 3 months ago
#226 - uvm_reg_block's configure_blk not functional
Issue -
State: open - Opened by bstei1010 3 months ago
- 3 comments
#225 - fix: predicton in uvm_reg_field
Pull Request -
State: closed - Opened by hurisson 5 months ago
- 15 comments
#223 - Minor documentation edits and typo fix
Pull Request -
State: closed - Opened by unbtorsten 7 months ago
- 1 comment
#221 - Added get_initial_logger_name to uvm_report_object
Pull Request -
State: closed - Opened by raysalemi 7 months ago
- 1 comment
#217 - Release pyuvm 3.0.0
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#216 - Getting all commits up to date.
Pull Request -
State: closed - Opened by raysalemi 7 months ago
- 1 comment
#215 - More documentation work
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#214 - uvm_report_object init gets slow for generated tests
Issue -
State: closed - Opened by LeoGoTi 7 months ago
- 12 comments
#213 - Now pyuvm.test() allows users to keep singletons
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#212 - Fix issues with current 2.0 support
Pull Request -
State: closed - Opened by ktbarrett 7 months ago
- 2 comments
#211 - Update install_requires line
Pull Request -
State: closed - Opened by ktbarrett 7 months ago
#210 - limit cocotb to 1.8+
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#209 - TinyALU_reg is broken
Issue -
State: closed - Opened by raysalemi 7 months ago
- 5 comments
#208 - Squashing is not working. Time for a regular merge.
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#207 - Fixed a bug in the sequence tests.
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#206 - Updated documentation. No longer automatically pushes.
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#205 - Switch to Read the Docs theme
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#204 - Install sphinx support to generate API documentation
Pull Request -
State: closed - Opened by raysalemi 7 months ago
#202 - Multiple analysis (imp)ports in a scoreboard
Issue -
State: closed - Opened by marcorogo13 9 months ago
- 4 comments
#199 - Add Pythonic method for raising and dropping objections
Pull Request -
State: closed - Opened by timothyscherer 10 months ago
- 2 comments
#197 - Add UVM Register Access Sequences
Issue -
State: closed - Opened by eminakgun 10 months ago
- 2 comments
#196 - Use int instead of string for storing RAL values
Pull Request -
State: closed - Opened by KasperHesse 11 months ago
- 5 comments
#195 - ```Driver.create(name, parent)``` vs ```Driver(name,parent)``` in README
Issue -
State: closed - Opened by HakamAtassi 11 months ago
- 2 comments
#194 - Add RAL to pyuvm. This is the primary 3.0 feature
Pull Request -
State: closed - Opened by raysalemi 12 months ago
#193 - Any example that mimics uvm_component_param_utils?
Issue -
State: closed - Opened by sbhutada 12 months ago
- 3 comments
#192 - pyuvm + latest cocotb: Decorator and LogicArray
Issue -
State: closed - Opened by LeoGoTi 12 months ago
- 13 comments
#191 - Any insights on PyUVM vs UVM-Python
Issue -
State: closed - Opened by sbhutada about 1 year ago
- 6 comments
#190 - Accessing pyuvm/cocotb from custom build infra
Issue -
State: closed - Opened by sbhutada about 1 year ago
- 4 comments
#189 - Conflicting types uvm_access_e and access_e in RAL implementation
Issue -
State: closed - Opened by KasperHesse about 1 year ago
- 2 comments
#188 - Problems with scoreboard
Issue -
State: closed - Opened by hurisson about 1 year ago
- 5 comments
#187 - Reconsidering Python Assertions for Run-Time Checks
Issue -
State: closed - Opened by hzisman about 1 year ago
- 3 comments
#186 - Latest ral_dev update
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
#184 - uvm_driver uses uvm_sequence_item_port instead of uvm_seq_item_pull_port
Issue -
State: closed - Opened by timothyscherer over 1 year ago
- 11 comments
#180 - Passing arrays from Monitor to Scoreboard
Issue -
State: closed - Opened by davidp135 over 1 year ago
- 4 comments
#179 - Implementation of runtime phases
Issue -
State: closed - Opened by timothyscherer over 1 year ago
- 5 comments
#178 - Add default argument to ConfigDB().get()
Pull Request -
State: closed - Opened by timothyscherer over 1 year ago
- 6 comments
#176 - Fix Typo mentioned in pyuvm#121
Pull Request -
State: closed - Opened by MehanathanS over 1 year ago
- 1 comment
#175 - Fix pyuvm#160, replace all cocotb.fork() with cocotb.start_soon() in all tests
Pull Request -
State: closed - Opened by MehanathanS over 1 year ago
- 1 comment
#173 - Regenerate reg block using peakrdl-verilog
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 3 comments
#172 - Generate passthrough interface
Pull Request -
State: closed - Opened by crteja over 1 year ago
#171 - Add the changes from PR 170
Pull Request -
State: closed - Opened by raysalemi over 1 year ago
#170 - Hppe is the latest flake8 release
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 3 comments
#169 - Ral dev
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 16 comments
#168 - PR branch to main shared ral_dev branch push
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 11 comments
#167 - Ral dev
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 4 comments
#166 - TinyALU reg block implementation
Pull Request -
State: closed - Opened by crteja over 1 year ago
#165 - Register model dev
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 13 comments
#164 - Enable CI for ral_dev
Pull Request -
State: closed - Opened by crteja over 1 year ago
#163 - ral_dev flake8 fixes [NOT READY TO MERGE]
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 12 comments
#162 - Use 1800.2 naming convention for all base classes
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 1 comment
#160 - Replace fork with start_soon in tests.py
Issue -
State: closed - Opened by raysalemi over 1 year ago
Labels: good first issue
#159 - Rationalized testing using tox
Pull Request -
State: closed - Opened by raysalemi over 1 year ago
#158 - first PYUVM Register Model release and Regression Fix
Pull Request -
State: closed - Opened by EngRaff92 over 1 year ago
- 63 comments
#157 - Update uvm_reg_map implementation
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 2 comments
#156 - Running pyuvm tests with pytest
Issue -
State: closed - Opened by timothyscherer over 1 year ago
- 5 comments
#155 - Replace fork with start_soon in example
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 1 comment
#154 - Add implementation for chapter 17
Pull Request -
State: closed - Opened by crteja over 1 year ago
- 3 comments
#153 - Add support for uvm_transaction simulation time recording
Pull Request -
State: closed - Opened by teja-91 over 1 year ago
- 1 comment
#152 - Add support for cocotb TESTCASE specifier
Issue -
State: closed - Opened by timothyscherer over 1 year ago
- 6 comments
#148 - Automatic string conversion for log messages
Pull Request -
State: closed - Opened by timothyscherer over 1 year ago
- 1 comment
#147 - uvm_agent has no attribute 'is_active' during build_phase
Issue -
State: closed - Opened by timothyscherer over 1 year ago
- 1 comment
#146 - Modify uvm_agent to use @property for active status
Pull Request -
State: closed - Opened by timothyscherer over 1 year ago
- 2 comments
#145 - Update example TinyALY to work with Verilator 5
Pull Request -
State: closed - Opened by teja-91 over 1 year ago
- 1 comment
#144 - Segmentation error in cocotb+vcs sim env
Issue -
State: closed - Opened by linyx94 over 1 year ago
- 1 comment
#142 - Fix bug regarding cocotb versions that contain a string
Pull Request -
State: closed - Opened by raysalemi almost 2 years ago
#140 - ValueError when using cocotb v1.8.0.dev0
Issue -
State: closed - Opened by mmichilot almost 2 years ago
#138 - @pyuvm.test() - NameError: name 'pyuvm' is not defined
Issue -
State: closed - Opened by nawaznedian almost 2 years ago
- 7 comments
#135 - Fix markdown lint errors in main README.md
Pull Request -
State: closed - Opened by hzisman almost 2 years ago
- 2 comments
#133 - Change "UVMNotImplemented" error to "UsePythonMethod" error when applicable
Pull Request -
State: closed - Opened by hzisman almost 2 years ago
- 5 comments
#132 - Fix colored output when COCOTB_ANSI_OUTPUT is set 0
Pull Request -
State: closed - Opened by viewtifulRafa about 2 years ago
- 8 comments
#131 - Replace logger name with pathname+lineno in logging messages
Pull Request -
State: closed - Opened by miserva over 2 years ago
- 1 comment
#130 - Adding waiver for W503
Pull Request -
State: closed - Opened by svenka3 over 2 years ago
- 1 comment
#129 - Cocotb record.name deletion in LOG
Pull Request -
State: closed - Opened by svenka3 over 2 years ago
- 14 comments
#128 - Use start_soon in place for fork --> examples/TinyAlu
Issue -
State: closed - Opened by svenka3 over 2 years ago
#127 - Fix environment variable definition in TinyALU Makefile
Pull Request -
State: closed - Opened by miserva over 2 years ago
- 1 comment
#123 - Minor edit to tox.ini to support Python 3.6/3.9
Pull Request -
State: closed - Opened by svenka3 over 2 years ago
#122 - Using tox with Python 3.6/3.9 etc.
Issue -
State: closed - Opened by svenka3 over 2 years ago
- 2 comments
#121 - Simple typo in Makefile
Issue -
State: closed - Opened by svenka3 over 2 years ago
#118 - Fix #112, run_phase now waits for objections from all components
Pull Request -
State: closed - Opened by mkorbel1 over 2 years ago
- 1 comment
#117 - Fix test decorator to work with cocotb 1.7
Pull Request -
State: closed - Opened by ktbarrett over 2 years ago
- 2 comments
#116 - Test tests/cocotb_tests/t12_tlm is hanging
Issue -
State: closed - Opened by raysalemi over 2 years ago
- 4 comments
Labels: bug
#114 - PyUVM + Cocotb + Icarus on Windows - how to get LOG file?
Issue -
State: closed - Opened by svenka3 over 2 years ago
- 2 comments
#113 - TinyAlu example on Windows - error on class_
Issue -
State: closed - Opened by svenka3 over 2 years ago
- 13 comments
Labels: bug
#112 - Run phase doesn't wait for objections from all components to drop
Issue -
State: closed - Opened by mkorbel1 over 2 years ago
- 2 comments
Labels: bug, good first issue
#111 - Fix test decorator to work with cocotb 1.7
Pull Request -
State: closed - Opened by ktbarrett over 2 years ago
#110 - Change how pyuvm.test decorator works
Pull Request -
State: closed - Opened by ktbarrett over 2 years ago
#109 - @pyuvm.test does not work with TESTCASE
Issue -
State: closed - Opened by miserva over 2 years ago