Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / mshr-h/vscode-verilog-hdl-support issues and pull requests

#398 - Update dependency @types/node to ~18.13.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#397 - [BUG] Import of package not possible

Issue - State: open - Opened by stu-e over 1 year ago - 2 comments
Labels: bug

#396 - Add SPDX-License-Identifier

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#395 - Autocompletion does not work

Issue - State: closed - Opened by zbw0520 over 1 year ago - 2 comments

#394 - Update dependency typescript to v4.9.5

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#393 - Update dependency eslint to v8.33.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#392 - Update dependency @vscode/vsce to ~2.17.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#391 - Update dependency eslint-plugin-import to v2.27.5

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#390 - Update dependency eslint to v8.32.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#389 - Update dependency esbuild to ^0.17.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#388 - Update dependency eslint-plugin-import to v2.27.4

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#387 - Re-request: Feature add linter slang

Pull Request - State: closed - Opened by retar-kamuy over 1 year ago - 3 comments

#386 - Feature-add-linter-slang

Pull Request - State: closed - Opened by retar-kamuy over 1 year ago - 1 comment

#385 - Pluggable lint/format engine

Issue - State: open - Opened by mshr-h over 1 year ago - 1 comment
Labels: enhancement

#384 - Update dependency eslint to v8.31.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#383 - Refactor LintManager

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#382 - Improve xvlog linting

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#381 - Support xvhdl linting for VHDL files

Issue - State: open - Opened by mshr-h over 1 year ago
Labels: enhancement, help wanted

#380 - Improve verilator linting

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#379 - Improve iverilog linting

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#378 - Refactoring

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#377 - Fix Instantiate Module

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#376 - [BUG] Command `Instantiate Module` not working

Issue - State: closed - Opened by Jimmy-Yixiao almost 2 years ago - 3 comments
Labels: bug

#375 - Update dependency eslint to v8.30.0

Pull Request - State: closed - Opened by renovate[bot] almost 2 years ago

#374 - Improve logging implementaion

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#373 - Automate release process

Issue - State: closed - Opened by mshr-h almost 2 years ago - 2 comments
Labels: enhancement

#372 - Refactor formatting

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#371 - Add Verilog-HDL/SystemVerilog formatting with verible-verilog-format

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#370 - Support vscode-verilog language server

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#369 - Add E2E testing

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#366 - Refactoring plan

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#365 - Add Verilog-HDL formatting with iStyle Verilog Formatter

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#364 - Add Verilog-HDL formatting with verilog-format

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#363 - Refactoring

Pull Request - State: closed - Opened by mshr-h almost 2 years ago

#362 - Support multiple language server

Pull Request - State: closed - Opened by mshr-h almost 2 years ago
Labels: enhancement

#361 - [BUG] Ctags Integration Not Working on Ubuntu 22.04

Issue - State: closed - Opened by NotCamelCase almost 2 years ago - 2 comments
Labels: bug

#360 - Support rust_hdl

Issue - State: closed - Opened by mshr-h almost 2 years ago - 4 comments
Labels: enhancement

#359 - Support slang

Issue - State: closed - Opened by mshr-h almost 2 years ago - 9 comments
Labels: enhancement

#358 - Support formatters

Issue - State: closed - Opened by mshr-h almost 2 years ago
Labels: enhancement

#357 - MyHDL integration

Issue - State: closed - Opened by mshr-h almost 2 years ago
Labels: enhancement

#356 - Adopting SPDX-License-Identifier

Issue - State: closed - Opened by mshr-h almost 2 years ago
Labels: enhancement

#355 - DigitalJS integration

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#354 - Improve logging

Issue - State: closed - Opened by mshr-h almost 2 years ago - 1 comment
Labels: enhancement

#353 - Support multiple language server

Issue - State: closed - Opened by mshr-h almost 2 years ago - 1 comment
Labels: enhancement

#352 - Update dependency @types/antlr4 to v4.11.2

Pull Request - State: closed - Opened by renovate[bot] almost 2 years ago

#351 - Syntax highlighting for Filelists

Pull Request - State: closed - Opened by Raamakrishnan almost 2 years ago - 1 comment

#350 - Update dependency eslint to v8.29.0

Pull Request - State: closed - Opened by renovate[bot] almost 2 years ago

#349 - Update dependency vsce to ~2.15.0

Pull Request - State: closed - Opened by renovate[bot] almost 2 years ago

#348 - [BUG] `property` token breaks bracket colorization

Issue - State: open - Opened by djoffe almost 2 years ago - 6 comments
Labels: bug

#347 - Add language examples for our supported filetype

Issue - State: open - Opened by mshr-h almost 2 years ago - 1 comment
Labels: enhancement, help wanted

#346 - [Breaking change] Refactor setting names

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#345 - Add introductory document

Issue - State: open - Opened by mshr-h almost 2 years ago
Labels: enhancement

#344 - [BUG] HDL Checker support is broken

Issue - State: closed - Opened by mshr-h almost 2 years ago
Labels: bug

#329 - Adopting Multi Root Workspace APIs

Issue - State: open - Opened by mshr-h almost 2 years ago - 1 comment
Labels: enhancement

#323 - Use iverilog linter on a remote SSH file

Issue - State: open - Opened by angelo558 almost 2 years ago - 3 comments

#319 - How do I turn off code completion hints for this plugin

Issue - State: open - Opened by xpww about 2 years ago - 1 comment

#311 - [BUG] Displays a warning about no .svlint.toml on every startup

Issue - State: closed - Opened by gussmith23 about 2 years ago - 2 comments
Labels: bug, wontfix

#299 - Dependency Dashboard

Issue - State: open - Opened by renovate[bot] over 2 years ago

#289 - [BUG]: Printing directly to the debug console

Issue - State: closed - Opened by hyperupcall over 2 years ago - 3 comments
Labels: bug

#261 - go to definition and autocomplete

Issue - State: open - Opened by wytWangLei over 2 years ago - 3 comments
Labels: bug

#253 - -y arguments

Issue - State: closed - Opened by vishal-chandra over 2 years ago - 1 comment

#241 - Keyword “automatic” in verilog 2001 cannot be highlighted.

Issue - State: open - Opened by xkgeng over 2 years ago
Labels: bug

#208 - Variables cannot be completed automatically

Issue - State: open - Opened by hhucchenyixiao almost 3 years ago - 9 comments
Labels: bug

#168 - Erase Verilator default values

Issue - State: open - Opened by AlbertAgui about 3 years ago

#165 - [Enhancement] modelsim linting command

Issue - State: closed - Opened by YingXiangLi10 about 3 years ago

#151 - can't go interface definition in another file

Issue - State: closed - Opened by callistoer over 3 years ago - 1 comment

#144 - Adding include path for fiding macros

Issue - State: open - Opened by lucasbrasilino over 3 years ago - 2 comments

#142 - ctags usage

Issue - State: closed - Opened by gypsyhut over 3 years ago

#141 - [Feature] Consider adding support for Google's verible linter and autoformatter

Issue - State: closed - Opened by mithro over 3 years ago - 2 comments
Labels: bug

#139 - [BUG] Iverilog linting doesn't work

Issue - State: open - Opened by doee-hc over 3 years ago - 5 comments
Labels: bug

#130 - [question]

Issue - State: closed - Opened by laryGeyts over 3 years ago - 2 comments
Labels: bug

#103 - Modelsim linter always gives 0 errors/warnings returned

Issue - State: open - Opened by MasterJubei over 4 years ago - 10 comments
Labels: bug

#102 - [BUG] localparams added as params in module instance

Issue - State: closed - Opened by markusdd over 4 years ago - 6 comments
Labels: bug

#84 - [BUG]cannot peak module definition in another file

Issue - State: closed - Opened by xiaoas almost 5 years ago - 6 comments
Labels: bug

#78 - [Support] Xvlog linter does not seem to work

Issue - State: open - Opened by agehall about 5 years ago - 5 comments

#77 - Development Plan 2

Issue - State: open - Opened by Raamakrishnan about 5 years ago - 4 comments

#66 - Basic operation with ctags

Issue - State: closed - Opened by fitch22 over 5 years ago - 10 comments

#62 - Can't get CTags to work

Issue - State: closed - Opened by SoerenSofke over 5 years ago - 4 comments

#60 - Verilator lints non-.sv and .v files

Issue - State: closed - Opened by danlomeli over 5 years ago - 1 comment

#44 - modelsim linting

Issue - State: closed - Opened by kdurant almost 6 years ago - 9 comments

#30 - there is a color mismatch bug

Issue - State: open - Opened by weiguoquan422 about 6 years ago - 10 comments
Labels: bug