Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / mshr-h/vscode-verilog-hdl-support issues and pull requests

#487 - [BUG] Verible verilog formatter arguments not working

Issue - State: open - Opened by riuandg5 10 days ago
Labels: bug

#486 - Update dependency eslint to v9

Pull Request - State: open - Opened by renovate[bot] about 2 months ago - 1 comment

#484 - [BUG] Excessive file access when using Samba

Issue - State: open - Opened by xachb 3 months ago
Labels: bug

#483 - [BUG] ctags not work

Issue - State: open - Opened by jiang131072 3 months ago
Labels: bug

#482 - [BUG] iverilog includePath not working

Issue - State: open - Opened by jiang131072 3 months ago
Labels: bug

#480 - [BUG] Documentation update on ctags-companion

Issue - State: open - Opened by flriancu 3 months ago
Labels: bug

#479 - [BUG] Module instantiation doesn't instantiate parameters

Issue - State: open - Opened by toTheSky 3 months ago - 3 comments
Labels: bug

#478 - Update dependency eslint-plugin-n to v17

Pull Request - State: closed - Opened by renovate[bot] 3 months ago

#477 - Update dependency eslint to v9 - autoclosed

Pull Request - State: closed - Opened by renovate[bot] 3 months ago - 1 comment

#476 - [BUG] Linting with iverilog have a display bug in port list

Issue - State: open - Opened by athgx1994 3 months ago
Labels: bug

#475 - xvlog linting doesn't work

Issue - State: open - Opened by pikapuma 3 months ago
Labels: bug

#474 - [BUG] Release on Open-VSX is not up-to-date (1 year old)

Issue - State: closed - Opened by goekce 3 months ago - 1 comment
Labels: bug

#473 - Automate release process

Pull Request - State: closed - Opened by mshr-h 3 months ago

#472 - [BUG] No highlighting for module/instance name

Issue - State: open - Opened by michaelw618 3 months ago
Labels: bug

#470 - Support module definitions by looking for file name

Pull Request - State: closed - Opened by AndrewNolte 4 months ago - 2 comments

#469 - fix ctags indexing error if ctags path is set to none #417

Pull Request - State: closed - Opened by TheLapinMalin 4 months ago - 1 comment

#468 - add svls toml file path

Issue - State: open - Opened by zoomer-k 4 months ago - 1 comment

#466 - [Formatter] execute from workspace folder

Pull Request - State: closed - Opened by AndrewNolte 5 months ago - 2 comments

#465 - Update typescript-eslint monorepo to v7 (major) - autoclosed

Pull Request - State: closed - Opened by renovate[bot] 5 months ago - 1 comment

#464 - Add feature request template

Pull Request - State: closed - Opened by DeflateAwning 5 months ago

#462 - " slang: run at file location " seems not work correctly #460

Pull Request - State: closed - Opened by zoomer-k 6 months ago - 1 comment

#461 - [enhancement] support ptags

Issue - State: open - Opened by zoomer-k 6 months ago - 1 comment

#460 - [BUG] " slang: run at file location " seems not work correctly

Issue - State: closed - Opened by zoomer-k 6 months ago - 2 comments
Labels: bug

#459 - Update actions/upload-artifact action to v4

Pull Request - State: closed - Opened by renovate[bot] 7 months ago

#458 - Update github/codeql-action action to v3

Pull Request - State: closed - Opened by renovate[bot] 7 months ago

#457 - use uctag parameter field option for module instantiation (#102)

Pull Request - State: closed - Opened by hirooih 7 months ago - 1 comment

#456 - [BUG] Highlighting color is incorrect when a parameter is set

Issue - State: open - Opened by bdm-k 7 months ago
Labels: bug

#454 - Update dependency vscode to v2023

Pull Request - State: closed - Opened by renovate[bot] 8 months ago

#453 - Update dependency @types/node to v20

Pull Request - State: closed - Opened by renovate[bot] 8 months ago

#452 - Update actions/setup-node action to v4

Pull Request - State: closed - Opened by renovate[bot] 8 months ago

#451 - Issue with language servers and vscode/remote/ssh

Issue - State: open - Opened by the-moog 8 months ago

#450 - Linter: "Unsupported: Interfaced port on top level module"

Issue - State: open - Opened by the-moog 9 months ago - 1 comment
Labels: bug

#449 - [BUG] Same warning shows twice

Issue - State: open - Opened by Benjamin-Teng 9 months ago
Labels: bug

#448 - Update dependency vscode-languageclient to v9

Pull Request - State: closed - Opened by renovate[bot] 10 months ago

#447 - [BUG] prefer child_process.execFile() to child_process.exec()

Issue - State: open - Opened by bolinfest 10 months ago - 2 comments
Labels: bug

#446 - [Enhancement] Pass arguments to lsp

Issue - State: open - Opened by Yakkhini 10 months ago - 4 comments
Labels: bug

#445 - Update actions/checkout action to v4

Pull Request - State: closed - Opened by renovate[bot] 10 months ago

#443 - Update dependency which to v4

Pull Request - State: closed - Opened by renovate[bot] 10 months ago

#442 - [BUG] goto defintion in other file doesn't work - showstopper

Issue - State: open - Opened by Johnlon 10 months ago
Labels: bug

#441 - Add rust hdl #360

Pull Request - State: closed - Opened by zoomer-k 11 months ago - 2 comments

#440 - Add tcl support

Pull Request - State: closed - Opened by mshr-h 11 months ago

#439 - Update typescript-eslint monorepo to v6.2.1 (minor)

Pull Request - State: closed - Opened by renovate[bot] 11 months ago

#438 - Update Minor updates (minor)

Pull Request - State: closed - Opened by renovate[bot] 11 months ago

#437 - Update Patch updates (patch)

Pull Request - State: closed - Opened by renovate[bot] 11 months ago

#436 - [BUG] Option to execute xvlog at file location

Issue - State: open - Opened by andreiGeorgian 11 months ago - 1 comment
Labels: bug

#435 - Update dependency eslint-config-prettier to v9

Pull Request - State: closed - Opened by renovate[bot] 11 months ago

#434 - [Enhancement] support for Xilinx tcl script autocomplete

Issue - State: open - Opened by Lvwings 11 months ago - 2 comments

#433 - Update README.md for ctags

Pull Request - State: closed - Opened by hirooih 12 months ago

#432 - Proposal to update description of ctags in README.md

Issue - State: closed - Opened by hirooih 12 months ago - 2 comments
Labels: bug, help wanted

#431 - Update typescript-eslint monorepo to v6 (major)

Pull Request - State: closed - Opened by renovate[bot] 12 months ago

#430 - [BUG] `define Change Color

Issue - State: open - Opened by 2018boyan almost 1 year ago
Labels: bug

#429 - Dev verilator

Pull Request - State: closed - Opened by zoomer-k about 1 year ago

#428 - [BUG] cant run verilator under wsl again

Issue - State: closed - Opened by zoomer-k about 1 year ago - 1 comment
Labels: bug

#427 - Fix slang warning message with square brackets

Pull Request - State: closed - Opened by RickLuiken about 1 year ago - 1 comment

#426 - [BUG] Slang warnings with square brackets in the message

Issue - State: closed - Opened by RickLuiken about 1 year ago
Labels: bug

#425 - Update Minor updates (minor)

Pull Request - State: closed - Opened by renovate[bot] about 1 year ago

#424 - Update dependency typescript to v5.0.4

Pull Request - State: closed - Opened by renovate[bot] about 1 year ago

#423 - [enhancement] ctags run under wsl

Issue - State: closed - Opened by zoomer-k about 1 year ago - 2 comments

#422 - Fix Verilator linting include paths

Pull Request - State: closed - Opened by darrylring about 1 year ago - 1 comment

#421 - [BUG] Verilator linting include paths broken on v1.11.7

Issue - State: closed - Opened by darrylring about 1 year ago
Labels: bug

#420 - Add quotation marks around paths for Slang, Verilator and Xvlog

Pull Request - State: closed - Opened by RickLuiken about 1 year ago - 3 comments

#419 - fix #418 slang and verilator cant run under WSL

Pull Request - State: closed - Opened by zoomer-k about 1 year ago - 6 comments

#418 - [BUG] cant run verilator under WSL

Issue - State: closed - Opened by zoomer-k about 1 year ago - 2 comments
Labels: bug

#417 - [BUG] "Cannot read properties of undefined (reading 'then')" error in logs repeatedly.

Issue - State: open - Opened by rossc719g about 1 year ago - 4 comments
Labels: bug

#416 - [Suggest] CompletionItem can Include ctags item and vscode.CompletionItem

Issue - State: open - Opened by zhujianhua0 about 1 year ago
Labels: bug

#415 - Slang: only push diagnostics in the current file

Pull Request - State: closed - Opened by AndrewNolte about 1 year ago - 3 comments

#414 - Update dependency glob to v10

Pull Request - State: closed - Opened by renovate[bot] about 1 year ago

#413 - [BUG] Error while using verible-verilog-format: "spawnSync verible-verilog-format ENOENT"

Issue - State: closed - Opened by hui-shao about 1 year ago - 1 comment
Labels: bug

#412 - Improved logging implementation

Pull Request - State: closed - Opened by mshr-h over 1 year ago - 4 comments
Labels: enhancement

#411 - fix #407

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#410 - Add more logging messages

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#409 - Update dependency @types/which to v3

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#408 - Update dependency typescript to v5

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#407 - iverilog linter does not work on macos with vscode 1.76.2

Issue - State: closed - Opened by devindang over 1 year ago - 48 comments
Labels: bug

#406 - Update dependency eslint to v8.35.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#405 - Update dependency @vscode/vsce to ~2.18.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#404 - Add experimental support for verible-verilog-ls (#403)

Pull Request - State: closed - Opened by eferri over 1 year ago - 1 comment

#403 - [Feature] Add experimental support for verilog-verible-ls

Issue - State: closed - Opened by eferri over 1 year ago

#402 - Update dependency glob to v9 - autoclosed

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#401 - Update dependency @types/node to ~18.14.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#400 - Update dependency eslint to v8.34.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#399 - [BUG]new input text will be selected when input "begin" and enter

Issue - State: open - Opened by lizhirui over 1 year ago - 2 comments
Labels: bug

#398 - Update dependency @types/node to ~18.13.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#397 - [BUG] Import of package not possible

Issue - State: open - Opened by stu-e over 1 year ago - 2 comments
Labels: bug

#396 - Add SPDX-License-Identifier

Pull Request - State: closed - Opened by mshr-h over 1 year ago

#395 - Autocompletion does not work

Issue - State: closed - Opened by zbw0520 over 1 year ago - 2 comments

#394 - Update dependency typescript to v4.9.5

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#393 - Update dependency eslint to v8.33.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#392 - Update dependency @vscode/vsce to ~2.17.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#391 - Update dependency eslint-plugin-import to v2.27.5

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#390 - Update dependency eslint to v8.32.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#389 - Update dependency esbuild to ^0.17.0

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#388 - Update dependency eslint-plugin-import to v2.27.4

Pull Request - State: closed - Opened by renovate[bot] over 1 year ago

#387 - Re-request: Feature add linter slang

Pull Request - State: closed - Opened by retar-kamuy over 1 year ago - 3 comments