Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / mshr-h/vscode-systemverilog-support issues and pull requests

#49 - Provide hover for `define macro

Pull Request - State: closed - Opened by toastedcornflakes almost 6 years ago - 3 comments

#48 - No virtual class support in systemverilog.tmLanguage

Issue - State: open - Opened by ktmills about 6 years ago

#47 - option to syntax highlight files with extension .v

Issue - State: closed - Opened by ghost about 6 years ago

#46 - Syntax Highlighting for 'let' construct

Issue - State: open - Opened by albertschulz over 6 years ago

#45 - Update numeric constant regular expression

Pull Request - State: closed - Opened by bjaminn over 6 years ago - 1 comment

#44 - Update extension icon

Issue - State: open - Opened by mshr-h over 6 years ago - 1 comment
Labels: enhancement

#43 - Add changelog

Issue - State: open - Opened by mshr-h over 6 years ago
Labels: enhancement

#42 - Support hover and definition detection of rand variables

Pull Request - State: closed - Opened by djoffe over 6 years ago - 1 comment

#41 - Definition detection not working for rand variables

Issue - State: closed - Opened by djoffe over 6 years ago

#40 - Cannot generate begin, end pair

Issue - State: open - Opened by HaoyangFan over 6 years ago - 1 comment

#39 - begin end matching

Issue - State: open - Opened by HaoyangFan96 over 6 years ago - 3 comments

#38 - Fixed instantiate module command when imports are used before the module declaration.

Pull Request - State: closed - Opened by sbaillou over 6 years ago - 1 comment

#37 - Add Goto Definition functionality and user settings alignment options

Pull Request - State: closed - Opened by bjaminn almost 7 years ago - 1 comment

#36 - Key Tab isn't working

Issue - State: open - Opened by zhangyl4991 almost 7 years ago
Labels: bug

#35 - Does not identify 'X and 'Z as constant.numeric

Issue - State: closed - Opened by pgerell almost 7 years ago
Labels: bug

#34 - Feature request

Issue - State: open - Opened by masics about 7 years ago - 3 comments

#33 - Feature Request: Configuration options for alignment

Issue - State: open - Opened by bjaminn about 7 years ago
Labels: enhancement

#32 - Alignment of ports adds semicolons to end

Issue - State: open - Opened by bjaminn about 7 years ago

#31 - Feature/lite

Pull Request - State: closed - Opened by thingnotok about 7 years ago - 2 comments

#30 - better file arrangment and code alignment

Pull Request - State: closed - Opened by thingnotok about 7 years ago

#29 - Missing Highlight Systemverilog Functions

Issue - State: open - Opened by pnmorais about 7 years ago - 3 comments

#28 - Goto Definition Feature Request

Issue - State: closed - Opened by bjaminn over 7 years ago - 1 comment

#27 - Add some Snippets

Pull Request - State: closed - Opened by xztaityozx over 7 years ago - 1 comment

#26 - Instantiate module command does not support interfaces

Issue - State: open - Opened by chickenjohn over 7 years ago

#25 - Adding support for .vs, .v, .vsh, .svh filetypes

Pull Request - State: closed - Opened by gandhimaulik over 7 years ago - 2 comments

#24 - Instance name configuration

Pull Request - State: closed - Opened by bjaminn over 7 years ago - 1 comment

#23 - Support comments added to Hover feature

Pull Request - State: closed - Opened by bjaminn over 7 years ago - 1 comment

#22 - Autoindent support

Issue - State: open - Opened by skazarynau over 7 years ago - 5 comments
Labels: enhancement

#21 - Hover code does not work for custom types and interfaces

Issue - State: open - Opened by bjaminn over 7 years ago - 2 comments
Labels: enhancement

#20 - add instantiate module command

Pull Request - State: closed - Opened by Yushiao over 7 years ago - 2 comments

#19 - Hover fix

Pull Request - State: closed - Opened by Yushiao over 7 years ago - 1 comment

#18 - Config update

Pull Request - State: closed - Opened by Yushiao over 7 years ago - 1 comment

#17 - Instantiate module & Hover fix

Pull Request - State: closed - Opened by Yushiao over 7 years ago - 3 comments

#16 - simple hover for systemverilog variables and parameters

Pull Request - State: closed - Opened by Yushiao over 7 years ago - 3 comments

#15 - Fix function highlight issue

Pull Request - State: closed - Opened by ghost over 7 years ago - 1 comment

#14 - bug of highlighting on '{}' when using replication operator

Issue - State: open - Opened by PaulYang1993 over 7 years ago
Labels: bug

#13 - bug of highlighting on 'function'

Issue - State: closed - Opened by PaulYang1993 over 7 years ago

#12 - “unique”, "or" can not be highlighted

Issue - State: closed - Opened by zhutmost over 7 years ago - 4 comments
Labels: bug

#11 - fix highlighting for unpacked array assignment

Pull Request - State: closed - Opened by mshr-h over 7 years ago

#10 - Snippests

Pull Request - State: closed - Opened by chickenjohn over 7 years ago - 1 comment

#9 - Unpacked arrays syntax highlighting on multiple lines

Issue - State: closed - Opened by djoffe over 7 years ago - 2 comments

#8 - Is there any plan to add the snippets support for this extension?

Issue - State: open - Opened by chickenjohn over 7 years ago - 2 comments

#7 - Fix #6

Pull Request - State: closed - Opened by mshr-h over 7 years ago

#6 - Matching problem on 'case endcase' inside 'begin end'

Issue - State: closed - Opened by zinechant over 7 years ago - 3 comments

#5 - fix highlighting for assignment of default value to unpacked array

Pull Request - State: closed - Opened by mshr-h over 7 years ago

#4 - unpacked arrays syntax highlighting isn't right

Issue - State: closed - Opened by MixmasterFresh over 7 years ago - 2 comments

#2 - Unbased unsized literal highlighting

Issue - State: closed - Opened by albertyak about 8 years ago - 4 comments

#1 - Add "begin" & "end" matching

Pull Request - State: closed - Opened by bjaminn about 8 years ago - 1 comment