Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / leonardt/fault issues and pull requests

#338 - Fault not aware of top circuit uniquification

Issue - State: open - Opened by leonardt 7 months ago

#337 - Deprecate coreir

Pull Request - State: closed - Opened by leonardt 7 months ago

#336 - Update internal signal poking for MLIR

Issue - State: open - Opened by leonardt 10 months ago - 1 comment

#335 - [magma v3.0.0] Upgrade to magma v3.0.0

Pull Request - State: closed - Opened by rsetaluri 10 months ago - 2 comments

#334 - When cover

Pull Request - State: closed - Opened by leonardt 11 months ago

#333 - Update assert to use inline_verilog2

Pull Request - State: closed - Opened by leonardt 11 months ago

#332 - Deprecate coreir

Pull Request - State: closed - Opened by leonardt 12 months ago

#331 - Update macos.yml

Pull Request - State: closed - Opened by leonardt 12 months ago

#330 - Aha no-heroku flow test

Pull Request - State: closed - Opened by leonardt about 1 year ago

#329 - Aha no-heroku flow test

Pull Request - State: closed - Opened by leonardt about 1 year ago

#328 - Fault fails with wrong circt version

Issue - State: open - Opened by phanrahan about 1 year ago - 1 comment

#327 - Fault breaks with MagmaProtocol outputs

Issue - State: open - Opened by cdonovick about 1 year ago - 2 comments

#326 - Add broken tests

Pull Request - State: open - Opened by cdonovick about 1 year ago - 4 comments

#325 - Improve behavior `output` in `magma_opts`

Issue - State: open - Opened by leonardt about 1 year ago

#324 - [assert] add support for when+assert_immediate

Pull Request - State: closed - Opened by leonardt over 1 year ago

#323 - Avoid traversing arrays/tuple in clock logic

Pull Request - State: closed - Opened by leonardt about 2 years ago

#322 - Call expect_sequences_finished by default

Pull Request - State: open - Opened by leonardt over 2 years ago

#321 - ReadyValidTester improvements

Pull Request - State: closed - Opened by leonardt over 2 years ago - 1 comment

#320 - Add option to SV target to generate packed arrays

Pull Request - State: closed - Opened by rsetaluri over 2 years ago

#319 - Add support for num_cycles=None

Pull Request - State: closed - Opened by leonardt over 2 years ago

#318 - Add support for early 'finish' pattern

Pull Request - State: closed - Opened by leonardt over 2 years ago

#317 - Array2

Pull Request - State: closed - Opened by leonardt over 2 years ago

#316 - Add error message for implicit peek of magma value

Pull Request - State: closed - Opened by leonardt over 2 years ago

#315 - Add for tester

Pull Request - State: closed - Opened by leonardt about 3 years ago

#314 - Add ReadyValidTester for I/O sequences

Pull Request - State: closed - Opened by leonardt about 3 years ago - 3 comments

#313 - Add timeout pattern

Pull Request - State: closed - Opened by leonardt about 3 years ago

#312 - Fixture additions

Pull Request - State: open - Opened by leonardt about 3 years ago

#311 - Update fault inline wire prefix for immediate assertions

Pull Request - State: closed - Opened by leonardt about 3 years ago

#310 - Need help writing magma circuits.

Issue - State: open - Opened by Arka2BR over 3 years ago

#309 - Cant install faul.

Issue - State: open - Opened by Arka2BR over 3 years ago - 4 comments

#308 - Add assert initial

Pull Request - State: closed - Opened by leonardt over 3 years ago

#307 - Fixture additions

Pull Request - State: closed - Opened by standanley over 3 years ago - 3 comments

#306 - Adding task to fault

Issue - State: open - Opened by Kuree over 3 years ago - 4 comments

#305 - Add right hand version of expressions

Pull Request - State: closed - Opened by leonardt over 3 years ago

#304 - Support dumping vcd from vcs

Pull Request - State: closed - Opened by rsetaluri over 3 years ago

#303 - Add support for advance_cycle to interactive tester

Pull Request - State: closed - Opened by leonardt over 3 years ago

#302 - Add support for compiling UnknownValue

Pull Request - State: closed - Opened by leonardt over 3 years ago - 2 comments

#301 - Add support for verilog_prefix

Pull Request - State: closed - Opened by leonardt over 3 years ago

#300 - Add support for array arguments to monitors

Pull Request - State: closed - Opened by leonardt over 3 years ago

#299 - Add support for monitor with product type arguments

Pull Request - State: closed - Opened by leonardt over 3 years ago

#298 - Add basic support for pysv monitor

Pull Request - State: closed - Opened by leonardt over 3 years ago

#297 - Add support for call statements

Pull Request - State: closed - Opened by leonardt over 3 years ago

#296 - Add test/fix for missing semicolon in SV tb (Fixes #295)

Pull Request - State: closed - Opened by leonardt over 3 years ago

#295 - Incorrect SV syntax with wait

Issue - State: closed - Opened by Kuree over 3 years ago

#294 - Move raise AssertionError to its own function

Pull Request - State: closed - Opened by cdonovick over 3 years ago

#293 - Add support for assert final

Pull Request - State: closed - Opened by leonardt over 3 years ago

#292 - Support compile guards with immediate assert

Pull Request - State: closed - Opened by leonardt over 3 years ago

#291 - Simplify assert immediate to use initial block

Pull Request - State: closed - Opened by leonardt over 3 years ago - 1 comment

#290 - Raise an error when trying to set an invalid port

Pull Request - State: closed - Opened by cdonovick almost 4 years ago - 1 comment

#289 - Add native python functional model

Pull Request - State: closed - Opened by Kuree almost 4 years ago - 5 comments

#288 - Guard inspect logic behind debug_mode flag

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#287 - Add var poke test for signed values

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#286 - add support to poke and expect var

Pull Request - State: closed - Opened by Kuree almost 4 years ago

#285 - pysv integration

Issue - State: closed - Opened by Kuree almost 4 years ago - 5 comments

#284 - Defines, parameters, and expanded real-number support for Verilator

Pull Request - State: closed - Opened by sgherbst almost 4 years ago

#283 - Add support for abs, max, min expressions

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 1 comment

#282 - Assert examples

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#281 - Fault doesn't generate signed inputs when specified through Magma

Issue - State: open - Opened by zamyers almost 4 years ago - 1 comment

#280 - Extremely low performance for large test vectors

Issue - State: open - Opened by Kuree almost 4 years ago - 22 comments

#279 - Support format args for imm assert failure msg

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#278 - Add fork to systemverilog target

Pull Request - State: closed - Opened by Kuree almost 4 years ago

#277 - fork/join Proposal

Issue - State: open - Opened by Kuree almost 4 years ago - 1 comment
Labels: enhancement

#276 - Add assume

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#275 - Add support for cover properties

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#274 - Add support for name parameter to assert immediate

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#273 - Fix bug in f.sva and not operator

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 1 comment

#272 - Imm assert

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 1 comment

#271 - Add API to just generate TB file

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 3 comments

#270 - Add pono example

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 10 comments

#269 - Nested compile guards

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 3 comments

#268 - Test tuple clocks with drive_undriven/terminate_unused

Pull Request - State: closed - Opened by leonardt almost 4 years ago

#267 - Add prototype sequence tester

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 4 comments

#266 - Control actions should produce Tester based on current subclass

Pull Request - State: closed - Opened by leonardt almost 4 years ago - 1 comment

#265 - get_value does not handle signed values properly

Issue - State: open - Opened by sgherbst about 4 years ago - 1 comment

#264 - Add support for passing args to fsdbDumpvars

Pull Request - State: closed - Opened by leonardt about 4 years ago

#263 - add xcelium support

Pull Request - State: closed - Opened by Kuree about 4 years ago

#262 - Add test/example for default clock/reset in properties

Pull Request - State: closed - Opened by leonardt about 4 years ago

#261 - Add assertion wire prefix

Pull Request - State: closed - Opened by leonardt about 4 years ago

#260 - Use default verilator_compat True

Pull Request - State: closed - Opened by leonardt about 4 years ago

#259 - Update vcdvcd

Pull Request - State: closed - Opened by leonardt about 4 years ago

#258 - Add Tester logic to find default clock

Pull Request - State: closed - Opened by leonardt about 4 years ago

#257 - Add support for bitwise peek

Pull Request - State: closed - Opened by leonardt about 4 years ago

#256 - Fix tab spacing for generated assert code

Pull Request - State: closed - Opened by leonardt about 4 years ago

#255 - Add support for expect message

Pull Request - State: closed - Opened by leonardt about 4 years ago - 1 comment

#254 - Update gold for upstream coreir change

Pull Request - State: closed - Opened by leonardt about 4 years ago

#253 - Fix iverilog top module selection on macOS

Pull Request - State: closed - Opened by sgherbst about 4 years ago

#252 - Ordering of -s argument for Icarus Verilog

Issue - State: closed - Opened by sgherbst about 4 years ago

#251 - Add support for dumping fsdb in vcs

Pull Request - State: closed - Opened by leonardt about 4 years ago

#250 - Add support for magma/coreir verilog ndarrays

Pull Request - State: closed - Opened by leonardt about 4 years ago

#249 - Resolve pytest and brew issues

Pull Request - State: closed - Opened by sgherbst about 4 years ago

#248 - Add Throughout, Until, UntilWith Property Operators

Pull Request - State: closed - Opened by leonardt about 4 years ago

#247 - Add Throughout Property Operator

Pull Request - State: closed - Opened by leonardt about 4 years ago - 1 comment

#246 - Add Eventually Property Operator

Pull Request - State: closed - Opened by leonardt about 4 years ago

#245 - Fix user_namespace bug

Pull Request - State: closed - Opened by leonardt about 4 years ago

#244 - Fix wait until bug

Pull Request - State: closed - Opened by leonardt about 4 years ago

#243 - Unify waveform behavior

Pull Request - State: closed - Opened by leonardt about 4 years ago

#242 - Fix clock init bug

Pull Request - State: closed - Opened by leonardt about 4 years ago

#241 - Property Prototype

Pull Request - State: closed - Opened by leonardt over 4 years ago - 1 comment

#240 - [RFC] Fault Property Language

Issue - State: closed - Opened by leonardt over 4 years ago - 2 comments

#239 - Add deployment to Github actions

Pull Request - State: closed - Opened by Kuree over 4 years ago