Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / japaric/f3 issues and pull requests

#113 - Bump numpy from 1.14.0 to 1.22.0

Pull Request - State: open - Opened by dependabot[bot] about 2 years ago
Labels: dependencies, python

#112 - run example: error[E0432]: unresolved import `cortex_m_0_6::peripheral::itm`

Issue - State: closed - Opened by bss03arg over 3 years ago - 2 comments

#111 - Lower Profile Changes to make Crate and Examples build with Rust 1.44

Pull Request - State: open - Opened by sirhcel almost 4 years ago

#110 - Examples fail to build

Issue - State: open - Opened by rubberduck203 over 4 years ago

#109 - Use stm32f3xx-hal instead of stm32f30x_hal and upgrade all dependencies

Pull Request - State: open - Opened by tkeksa almost 5 years ago - 9 comments

#108 - Use board as USB device

Issue - State: open - Opened by leshow almost 5 years ago

#107 - Replace deprecated `hal::prelude::_embedded_hal_digital_OutputPin` with embedded_hal

Pull Request - State: closed - Opened by quietlychris almost 5 years ago - 1 comment

#106 - Building fails with: error[E0557]: feature has been removed

Issue - State: open - Opened by Frederik-Baetens over 5 years ago - 4 comments

#105 - Update ITM book links

Pull Request - State: closed - Opened by rnestler over 5 years ago - 2 comments

#104 - switch ci to stable

Pull Request - State: closed - Opened by japaric over 5 years ago - 2 comments

#103 - update to latest cortex-m crates

Pull Request - State: closed - Opened by japaric over 5 years ago - 7 comments

#102 - impl Iterator for Leds

Issue - State: open - Opened by Kixunil over 5 years ago

#101 - Use the rust built-in linker

Pull Request - State: closed - Opened by jonte over 5 years ago - 2 comments

#100 - Update panic-semihosting dependency

Pull Request - State: closed - Opened by jonte over 5 years ago - 1 comment

#99 - Specify linker for 1.30.0 nightly builds

Pull Request - State: closed - Opened by nicodemus26 almost 6 years ago - 3 comments

#98 - Example failing to link with 1.30.0-nightly (2018-09-13)

Issue - State: closed - Opened by nicodemus26 almost 6 years ago - 2 comments

#97 - Link in docs is dead

Issue - State: open - Opened by Boscop almost 6 years ago - 2 comments

#96 - Unable to run madgwick/viz demo

Issue - State: closed - Opened by JoshMcguigan almost 6 years ago - 4 comments

#95 - re-add the "rt" feature

Pull Request - State: closed - Opened by japaric about 6 years ago - 2 comments

#94 - update panic-semihosting to 0.3.0 to use #[panic_implementation]

Pull Request - State: closed - Opened by tahnok about 6 years ago - 4 comments

#93 - move to embedded-hal v0.2 and cortex-m-rt v0.5 to reduce the number of unstable features

Pull Request - State: closed - Opened by japaric about 6 years ago - 4 comments

#92 - s/Xargo/Cargo/

Pull Request - State: closed - Opened by japaric about 6 years ago - 6 comments

#91 - add Madgwick's orientation filter demo

Pull Request - State: closed - Opened by japaric over 6 years ago - 2 comments

#90 - Question: How to handle `EXTI` interrupts

Issue - State: open - Opened by nordmoen over 6 years ago - 1 comment

#89 - disable ThinLTO

Pull Request - State: closed - Opened by japaric over 6 years ago - 4 comments

#88 - add "rt" Cargo feature

Pull Request - State: closed - Opened by japaric over 6 years ago - 11 comments

#87 - Binary size and flashing with st-flash

Issue - State: closed - Opened by tekjar over 6 years ago - 3 comments

#86 - Version 0.5.0 not release to Crates.io

Issue - State: closed - Opened by nordmoen almost 7 years ago - 3 comments

#85 - refactor examples for RTFM v2

Pull Request - State: closed - Opened by getreu almost 7 years ago - 8 comments

#84 - OpenOCD and GDB keep failing randomly during debug sessions

Issue - State: open - Opened by getreu almost 7 years ago - 5 comments

#83 - Hal serial

Pull Request - State: closed - Opened by brandonedens almost 7 years ago - 1 comment

#82 - upgrade to RTFM v0.2

Issue - State: closed - Opened by getreu almost 7 years ago - 8 comments

#81 - implement the embedded-hal traits and ...

Issue - State: closed - Opened by japaric almost 7 years ago - 17 comments
Labels: help wanted

#80 - bump dependencies' versions

Pull Request - State: closed - Opened by japaric almost 7 years ago - 16 comments

#79 - No lsm303dlhc module in master

Issue - State: closed - Opened by tekjar almost 7 years ago - 2 comments

#78 - Update f3 to user stm32f30x 0.5.0 along with all other dependencies.

Pull Request - State: closed - Opened by brandonedens almost 7 years ago - 2 comments

#77 - Board support crate naming could be more specific?

Issue - State: closed - Opened by Muximize about 7 years ago - 3 comments

#76 - make all the APIs async, drop all the startup stuff

Pull Request - State: closed - Opened by japaric about 7 years ago - 10 comments

#75 - main function not linked to the binary

Issue - State: open - Opened by klangner over 7 years ago - 7 comments

#74 - Implemented the embedded_serial traits.

Pull Request - State: closed - Opened by thejpster over 7 years ago - 3 comments

#73 - tweak CI

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#72 - get rid of the '_default_exception_handler_impl' symbol

Pull Request - State: closed - Opened by japaric over 7 years ago - 16 comments

#71 - My board uses STlinkV2, not 2-1

Issue - State: closed - Opened by TrionProg over 7 years ago - 4 comments

#70 - [PoC] allocator

Pull Request - State: closed - Opened by japaric over 7 years ago - 16 comments

#69 - ld: add an unused _VECTOR_TABLE variable to get nicer objdumps

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#68 - ld: discard the .note.gnu.build-id section

Pull Request - State: closed - Opened by japaric over 7 years ago - 19 comments

#67 - export_name -> no_mangle

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#66 - replace the peripheral module with the stm32f30x-memory-map crate

Pull Request - State: closed - Opened by japaric over 7 years ago - 7 comments

#65 - update docs

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#64 - use pub + export_name for EXCEPTIONS and INTERRUPTS

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#63 - tweak ABIs

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#62 - review ABI of "extern" functions

Issue - State: closed - Opened by japaric over 7 years ago

#61 - remove webhook

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#60 - change example/minimal to generate a binary with minimal size

Pull Request - State: closed - Opened by japaric over 7 years ago - 11 comments

#59 - remove aliased mutable borrows

Issue - State: closed - Opened by pftbest over 7 years ago - 10 comments

#58 - example: sharing state between an interrupt and the main loop

Pull Request - State: closed - Opened by japaric over 7 years ago - 3 comments

#57 - remove duplicate example

Pull Request - State: closed - Opened by japaric over 7 years ago - 3 comments

#56 - add Cargo features to opt out of interrupts and static RAM variables

Pull Request - State: closed - Opened by japaric over 7 years ago - 8 comments

#55 - opt-out Cargo feature to disable interrupts

Issue - State: closed - Opened by japaric over 7 years ago
Labels: experiment

#54 - opt-out Cargo feature to not initialize .bss / .data

Issue - State: closed - Opened by japaric over 7 years ago
Labels: experiment

#53 - split memory map into its own crate

Issue - State: closed - Opened by japaric over 7 years ago

#52 - async API

Pull Request - State: closed - Opened by japaric over 7 years ago - 12 comments

#51 - Support for naze32 flight controller

Issue - State: closed - Opened by tekjar over 7 years ago - 7 comments

#50 - plug in an allocator

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#49 - place the call stack in Core Coupled RAM (CCRAM)

Pull Request - State: closed - Opened by japaric over 7 years ago - 2 comments

#48 - use the `ref_slice` crate

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#47 - more docs

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#46 - lsm303dlhc: measure acceleration in the [-8g, +8g] range

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#45 - Place the call stack in Core Coupled Memory (CCM)

Issue - State: closed - Opened by japaric over 7 years ago - 3 comments
Labels: experiment

#44 - Async IO

Issue - State: closed - Opened by japaric over 7 years ago - 4 comments
Labels: experiment

#43 - replace the timeit module with time::Instant

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#42 - unsupported relocation on symbol

Issue - State: closed - Opened by wezm over 7 years ago - 7 comments

#41 - don't call zero_bss or init_data if .bss or .data is empty

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#40 - tweak the linker script

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#39 - compiler-builtins must always be compiled with +memcpy

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#38 - update documentation about overring init, panic_fmt and default_exception_handler

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#37 - add opt-out Cargo features to remove the default initialization and ...

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#36 - timeit module

Pull Request - State: closed - Opened by japaric over 7 years ago - 11 comments

#35 - add an opt-out "examples" Cargo feature to hide the examples module

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#34 - re-export cortex-m's bkpt! macro

Pull Request - State: closed - Opened by japaric over 7 years ago - 8 comments

#33 - add the final version of the LED compass example

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#32 - add a script to (re)generate the peripheral::* modules

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#31 - delay::ms: switch back to busy waiting

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#30 - high level API for the gyroscope

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#29 - high level API over the LSM303DLHC + LED compass example

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#28 - re-generate peripheral::* modules

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#27 - low level access to SPI

Pull Request - State: closed - Opened by japaric over 7 years ago - 8 comments

#26 - low level access to I2C

Pull Request - State: closed - Opened by japaric over 7 years ago - 8 comments

#25 - re-generate the peripheral::* modules one more time

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#24 - regenerate peripheral::* modules

Pull Request - State: closed - Opened by japaric over 7 years ago - 4 comments

#23 - the led roulette example misbehaves when compiled in release mode (+LTO)

Issue - State: closed - Opened by japaric over 7 years ago - 2 comments

#22 - use svd2rust to generate the peripheral::* modules

Pull Request - State: closed - Opened by japaric over 7 years ago - 7 comments

#20 - L3GD20

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#19 - LSM303DLHC

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#18 - Serial: add `write_bytes`

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#9 - ITM/`iprint` send data in 32-bit chunks

Issue - State: closed - Opened by japaric over 7 years ago - 4 comments

#7 - SPI

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#6 - I2C

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#5 - default to 72 MHz

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment

#3 - timeit module

Issue - State: closed - Opened by japaric over 7 years ago - 1 comment