Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / iqm-finland/KQCircuits issues and pull requests

#71 - GitHub shuts down SVN bridge: KLayout package will need mirror at SourceForge

Issue - State: open - Opened by klayoutmatthias 8 months ago - 2 comments
Labels: feature

#70 - Fix HangerResonator

Pull Request - State: closed - Opened by iqmtestd 9 months ago - 1 comment
Labels: cla-signed

#69 - HangerResonator breaks WaveguideComposite

Issue - State: closed - Opened by iqmtestd 9 months ago - 2 comments
Labels: bug

#66 - Singularity build uses --fakeroot only when needed

Pull Request - State: closed - Opened by iqmtestd 9 months ago
Labels: cla-signed

#63 - Add optional singularity filesystem encryption

Pull Request - State: closed - Opened by iqmtestd 9 months ago - 1 comment
Labels: cla-signed

#62 - Reload existing PCells without closing KLayout

Pull Request - State: closed - Opened by iqmtestd 9 months ago - 4 comments
Labels: cla-signed

#59 - Fix parameter name verification error

Pull Request - State: closed - Opened by iqmtestd 10 months ago - 1 comment
Labels: cla-signed

#58 - EdgePort's 'side' is inferred from 'towards'

Pull Request - State: closed - Opened by iqmtestd 10 months ago - 3 comments
Labels: cla-signed

#57 - Add parameter names to markers in documentation

Pull Request - State: closed - Opened by iqmtestd 11 months ago - 1 comment
Labels: cla-signed

#56 - FlipChipConnectorRf inconsistent between round and square connector shape

Issue - State: open - Opened by qpavsmi 12 months ago
Labels: enhancement, feature

#55 - Added Vim command for `create_element_from_path.py`

Pull Request - State: closed - Opened by AVDiv about 1 year ago - 24 comments
Labels: cla-signed

#54 - Refactor Singularity image links to use Singularity apps

Issue - State: open - Opened by nikosavola about 1 year ago
Labels: FEM, refactoring, feature

#53 - Add parameter names to markers in documentation

Issue - State: closed - Opened by qpavsmi about 1 year ago - 3 comments
Labels: documentation, enhancement

#52 - Display ports in simulation export Klayout dialog

Issue - State: open - Opened by qpavsmi about 1 year ago
Labels: enhancement, GUI

#51 - Fix Meander size in WaveguideComposite

Pull Request - State: closed - Opened by dgploss about 1 year ago - 4 comments
Labels: cla-signed

#50 - Support broadband adaptive solution in HFSS

Issue - State: open - Opened by nikosavola about 1 year ago
Labels: good first issue, FEM, feature

#49 - Add PyPI badge to the README

Pull Request - State: closed - Opened by iqmtestd about 1 year ago - 1 comment
Labels: documentation, cla-signed

#48 - Provide Vim command for `create_element_from_path.py`

Issue - State: closed - Opened by nikosavola about 1 year ago - 7 comments
Labels: enhancement, good first issue, unitaryhack-bounty, feature

#47 - Add PyPI badge to README

Issue - State: closed - Opened by nikosavola over 1 year ago
Labels: documentation, good first issue, feature

#46 - Implement Qiskit Metal adapter element

Issue - State: open - Opened by nikosavola over 1 year ago
Labels: geometry, feature

#45 - Design SuperInductor junction for Fluxonium devices

Issue - State: open - Opened by nikosavola over 1 year ago - 4 comments
Labels: help wanted, geometry, feature

#44 - Add support for Palace

Issue - State: open - Opened by nikosavola over 1 year ago
Labels: FEM, feature

#43 - Add GitHub issue templates

Pull Request - State: closed - Opened by iqmtestd over 1 year ago
Labels: cla-signed, feature

#42 - First level parallelisation of scripts running Ansys FEM simulator

Issue - State: open - Opened by nikosavola over 1 year ago - 4 comments
Labels: enhancement, good first issue, FEM, refactoring

#41 - Add official Discord link to Readme and docs

Pull Request - State: closed - Opened by nikosavola over 1 year ago - 2 comments
Labels: documentation, cla-signed

#40 - Add badge for KQCircuits Discord and mention in docs

Issue - State: closed - Opened by nikosavola over 1 year ago - 1 comment
Labels: documentation

#39 - Use `importlib.util` for better support

Pull Request - State: closed - Opened by nikosavola over 1 year ago - 2 comments
Labels: bug, FEM, cla-signed

#38 - Simulations: module 'importlib' has not attribute 'util'

Issue - State: closed - Opened by nikosavola over 1 year ago
Labels: bug, FEM, Windows

#36 - switch to pip3 if pip is not available in path

Pull Request - State: closed - Opened by manzanillo over 1 year ago - 5 comments

#35 - Link KQCircuits-Examples to docs

Issue - State: open - Opened by nikosavola over 1 year ago - 1 comment
Labels: documentation, help wanted, CI/CD

#34 - Write project results without Slurm for Elmer

Pull Request - State: closed - Opened by nikosavola over 1 year ago - 5 comments
Labels: cla-signed

#33 - Add progress bar with `tqdm` for Ansys simulation scripts

Issue - State: open - Opened by nikosavola over 1 year ago
Labels: good first issue, FEM, Windows

#32 - simpler code

Pull Request - State: closed - Opened by joamatab over 1 year ago - 1 comment
Labels: refactoring

#31 - Layout versus schematic (LVS)

Issue - State: closed - Opened by joamatab over 1 year ago - 1 comment

#30 - Pulling Singularity image and using `create_links.sh` requires manual `mkdir`

Issue - State: closed - Opened by nikosavola over 1 year ago - 1 comment
Labels: bug, good first issue, FEM

#29 - Document that parallelised add_chips cannot be used with static cells

Issue - State: closed - Opened by nikosavola over 1 year ago - 1 comment
Labels: documentation, good first issue, refactoring

#28 - Elmer simulations have no way of writing `*project_results.json` w/o editing `.sh`

Issue - State: closed - Opened by nikosavola over 1 year ago - 1 comment
Labels: good first issue, FEM

#25 - Working with multiple versions of KQC and/or KLayout

Issue - State: closed - Opened by srjmas over 1 year ago - 14 comments

#24 - Installing missing python packages in windows

Issue - State: closed - Opened by srjmas over 1 year ago - 2 comments

#21 - Backward compatibility

Issue - State: closed - Opened by srjmas over 1 year ago - 6 comments
Labels: question

#20 - Update README.rst

Pull Request - State: closed - Opened by danielecucurachiiqm almost 2 years ago - 1 comment

#19 - Test cla-bot

Pull Request - State: closed - Opened by jkotilahti almost 2 years ago - 4 comments
Labels: cla-signed

#18 - [unitaryhack] Fixes links to files in Documentation.

Pull Request - State: closed - Opened by divshacker about 2 years ago - 6 comments
Labels: unitaryhack-accepted

#17 - [unitaryhack] Update GUI workflow for the current version of KLayout

Pull Request - State: closed - Opened by Renaud2002 about 2 years ago - 5 comments
Labels: unitaryhack-accepted

#16 - [unitaryhack] Adding a post_build method to Element to avoid calls to super().build() when constructing chips.

Pull Request - State: closed - Opened by upsideon about 2 years ago - 1 comment
Labels: unitaryhack-accepted

#15 - Macro for snapping refpoints

Issue - State: closed - Opened by jkotilahti about 2 years ago
Labels: enhancement, GUI

#14 - Reload existing PCells without closing GUI

Issue - State: closed - Opened by jkotilahti about 2 years ago - 2 comments
Labels: enhancement, good first issue, GUI, feature

#13 - Macro for printing the refpoint hierarchy

Issue - State: open - Opened by jkotilahti about 2 years ago - 3 comments
Labels: enhancement, good first issue

#12 - `super().build()` always needed in chips

Issue - State: closed - Opened by jkotilahti about 2 years ago - 4 comments
Labels: enhancement, refactoring, unitaryhack-bounty

#11 - Point-and-click workflow tutorial outdated

Issue - State: closed - Opened by jkotilahti about 2 years ago - 29 comments
Labels: documentation, unitaryhack-bounty

#10 - Try Shellcheck in CI

Pull Request - State: closed - Opened by nikosavola about 2 years ago

#9 - Links to files in documentation

Issue - State: closed - Opened by nikosavola over 2 years ago - 4 comments
Labels: documentation, unitaryhack-bounty

#8 - `produce_waveguide_to_port` does not infer side for EdgePort

Issue - State: closed - Opened by nikosavola over 2 years ago
Labels: good first issue, FEM, geometry

#7 - Changing PCell Parameters erases cells

Issue - State: closed - Opened by pauljametsuria over 2 years ago - 3 comments

#6 - How to generate a new element

Issue - State: closed - Opened by pauljametsuria over 2 years ago - 3 comments

#5 - Unable to cite repo

Issue - State: closed - Opened by HermanniH over 2 years ago - 4 comments

#4 - Unable to submit CLA from website

Issue - State: closed - Opened by nathanshammah almost 3 years ago - 3 comments

#3 - Format license file for metadata

Issue - State: closed - Opened by nathanshammah almost 3 years ago - 3 comments

#2 - Docs update: Salt package is not a git repo

Pull Request - State: closed - Opened by iqmtestd about 3 years ago - 3 comments

#1 - Remove outdated Jupyter Notebook

Pull Request - State: closed - Opened by iqmtestd about 3 years ago - 2 comments