Ecosyste.ms: Issues
An open API service for providing issue and pull request metadata for open source projects.
GitHub / drom/awesome-hdl issues and pull requests
#69 - add svlint to Other Design Automation tools
Pull Request -
State: open - Opened by yangm2 3 months ago
#68 - add CRAVE (AntMicro branch) to Other Simulation tools
Pull Request -
State: open - Opened by yangm2 3 months ago
#67 - fix typo
Pull Request -
State: open - Opened by yangm2 3 months ago
#66 - Add info on UVVM and hbs
Pull Request -
State: closed - Opened by m-kru 10 months ago
#64 - Add silice
Pull Request -
State: closed - Opened by pbsds over 1 year ago
#63 - Add package manager and csr tool
Issue -
State: closed - Opened by Dragon-Git over 1 year ago
#62 - add peakrdl, fusesoc and bender
Pull Request -
State: closed - Opened by Dragon-Git over 1 year ago
#61 - add verilog parser
Pull Request -
State: closed - Opened by Dragon-Git over 1 year ago
- 1 comment
#61 - add verilog parser
Pull Request -
State: closed - Opened by Dragon-Git over 1 year ago
- 1 comment
#60 - Silice
Issue -
State: closed - Opened by pbsds over 1 year ago
- 1 comment
#59 - Added PyHGL
Pull Request -
State: closed - Opened by jintaos2 over 1 year ago
- 1 comment
#58 - Update Amaranth link, add XLS
Pull Request -
State: closed - Opened by Disasm over 1 year ago
- 1 comment
#57 - Could you please add my tool in your list?
Issue -
State: closed - Opened by WilsonChen003 over 1 year ago
- 1 comment
#56 - How do we classify litex?
Issue -
State: closed - Opened by hungrymonkey over 1 year ago
- 1 comment
#55 - list chisel/firrtl simulators
Pull Request -
State: closed - Opened by sbeamer almost 2 years ago
#55 - list chisel/firrtl simulators
Pull Request -
State: closed - Opened by sbeamer almost 2 years ago
#54 - Add sv2v
Pull Request -
State: closed - Opened by dev-pts almost 2 years ago
- 1 comment
#54 - Add sv2v
Pull Request -
State: closed - Opened by dev-pts almost 2 years ago
- 1 comment
#53 - Add Veryl
Pull Request -
State: closed - Opened by dalance almost 2 years ago
- 1 comment
#53 - Add Veryl
Pull Request -
State: closed - Opened by dalance almost 2 years ago
- 1 comment
#52 - Add Hdl21, VLSIR
Pull Request -
State: closed - Opened by dan-fritchman about 2 years ago
#52 - Add Hdl21, VLSIR
Pull Request -
State: closed - Opened by dan-fritchman about 2 years ago
#51 - Added tbengy SV/UVM Testbench code generator
Pull Request -
State: closed - Opened by prasadp4009 about 2 years ago
#51 - Added tbengy SV/UVM Testbench code generator
Pull Request -
State: closed - Opened by prasadp4009 about 2 years ago
#50 - Added Makerchip's Visual Debug.
Pull Request -
State: closed - Opened by stevehoover over 2 years ago
#49 - Please add OSVVM to Other Simulation Tools
Pull Request -
State: closed - Opened by JimLewis over 2 years ago
#49 - Please add OSVVM to Other Simulation Tools
Pull Request -
State: closed - Opened by JimLewis over 2 years ago
#48 - Add Verik HDL
Pull Request -
State: closed - Opened by frwang96 over 2 years ago
#48 - Add Verik HDL
Pull Request -
State: closed - Opened by frwang96 over 2 years ago
#47 - Added link SpyDrNet netlist manipulation tools under "Hardware Intermediate Representations" section
Pull Request -
State: closed - Opened by wirthlin almost 3 years ago
- 1 comment
#47 - Added link SpyDrNet netlist manipulation tools under "Hardware Intermediate Representations" section
Pull Request -
State: closed - Opened by wirthlin almost 3 years ago
- 1 comment
#46 - Add Hardcaml
Pull Request -
State: closed - Opened by askvortsov1 about 3 years ago
- 1 comment
#46 - Add Hardcaml
Pull Request -
State: closed - Opened by askvortsov1 about 3 years ago
- 1 comment
#45 - fix typos
Pull Request -
State: closed - Opened by kevbroch about 3 years ago
- 1 comment
#45 - fix typos
Pull Request -
State: closed - Opened by kevbroch about 3 years ago
- 1 comment
#44 - CIRCT framework toolkit
Issue -
State: closed - Opened by SamuraiCrow about 3 years ago
- 1 comment
#43 - add ROHD
Pull Request -
State: closed - Opened by mkorbel1 about 3 years ago
- 1 comment
#43 - add ROHD
Pull Request -
State: closed - Opened by mkorbel1 about 3 years ago
- 1 comment
#42 - Add sv2v tool?
Issue -
State: closed - Opened by dev-pts about 3 years ago
- 1 comment
#42 - Add sv2v tool?
Issue -
State: closed - Opened by dev-pts about 3 years ago
- 1 comment
#41 - verible moved to chipalliance here's new home for doc
Pull Request -
State: closed - Opened by kevbroch about 3 years ago
#41 - verible moved to chipalliance here's new home for doc
Pull Request -
State: closed - Opened by kevbroch about 3 years ago
#40 - Added vitis
Pull Request -
State: closed - Opened by hungrymonkey over 3 years ago
- 1 comment
#40 - Added vitis
Pull Request -
State: closed - Opened by hungrymonkey over 3 years ago
- 1 comment
#39 - Is Vitis within the scope of this project?
Issue -
State: closed - Opened by hungrymonkey over 3 years ago
- 1 comment
#39 - Is Vitis within the scope of this project?
Issue -
State: closed - Opened by hungrymonkey over 3 years ago
- 1 comment
#38 - Quokka C# to RTL translator links and demo projects
Pull Request -
State: closed - Opened by EvgenyMuryshkin almost 4 years ago
#38 - Quokka C# to RTL translator links and demo projects
Pull Request -
State: closed - Opened by EvgenyMuryshkin almost 4 years ago
#37 - Clash is a DSL based on Haskell
Issue -
State: closed - Opened by WenhMa almost 4 years ago
- 1 comment
#37 - Clash is a DSL based on Haskell
Issue -
State: closed - Opened by WenhMa almost 4 years ago
- 1 comment
#36 - Seperated into languages and tools.
Pull Request -
State: closed - Opened by eddygta17 about 4 years ago
#36 - Seperated into languages and tools.
Pull Request -
State: closed - Opened by eddygta17 about 4 years ago
#35 - Append verible
Pull Request -
State: closed - Opened by mikeyangsiv about 4 years ago
#35 - Append verible
Pull Request -
State: closed - Opened by mikeyangsiv about 4 years ago
#34 - Added SuperH compatible VHDL sources
Pull Request -
State: closed - Opened by hungrymonkey over 4 years ago
- 1 comment
#34 - Added SuperH compatible VHDL sources
Pull Request -
State: closed - Opened by hungrymonkey over 4 years ago
- 1 comment
#33 - Is teaching tools in the scope of this repository?
Issue -
State: closed - Opened by hungrymonkey over 4 years ago
- 1 comment
#33 - Is teaching tools in the scope of this repository?
Issue -
State: closed - Opened by hungrymonkey over 4 years ago
- 1 comment
#32 - Add nMigen
Pull Request -
State: closed - Opened by miek over 4 years ago
#32 - Add nMigen
Pull Request -
State: closed - Opened by miek over 4 years ago
#31 - added RgGen
Pull Request -
State: closed - Opened by taichi-ishitani over 4 years ago
- 1 comment
#31 - added RgGen
Pull Request -
State: closed - Opened by taichi-ishitani over 4 years ago
- 1 comment
#30 - Add TNoC
Pull Request -
State: closed - Opened by taichi-ishitani over 4 years ago
#30 - Add TNoC
Pull Request -
State: closed - Opened by taichi-ishitani over 4 years ago
#29 - Add kaze meta-hdl for Rust
Pull Request -
State: closed - Opened by yupferris almost 5 years ago
#29 - Add kaze meta-hdl for Rust
Pull Request -
State: closed - Opened by yupferris almost 5 years ago
#28 - Add Clash
Issue -
State: closed - Opened by ncihnegn almost 5 years ago
#28 - Add Clash
Issue -
State: closed - Opened by ncihnegn almost 5 years ago
#27 - Add RgGen and TNoC
Issue -
State: closed - Opened by taichi-ishitani almost 5 years ago
- 1 comment
#27 - Add RgGen and TNoC
Issue -
State: closed - Opened by taichi-ishitani almost 5 years ago
- 1 comment
#26 - added sv-parser
Pull Request -
State: closed - Opened by hungrymonkey almost 5 years ago
#26 - added sv-parser
Pull Request -
State: closed - Opened by hungrymonkey almost 5 years ago
#25 - Added TL-Verilog and Pyrope.
Pull Request -
State: closed - Opened by stevehoover almost 5 years ago
#25 - Added TL-Verilog and Pyrope.
Pull Request -
State: closed - Opened by stevehoover almost 5 years ago
#24 - Consider including this MyHDL project based on Jupyter
Issue -
State: closed - Opened by rajesh-s about 5 years ago
#24 - Consider including this MyHDL project based on Jupyter
Issue -
State: closed - Opened by rajesh-s about 5 years ago
#23 - fix date of migen
Issue -
State: closed - Opened by sbourdeauducq about 5 years ago
- 2 comments
#23 - fix date of migen
Issue -
State: closed - Opened by sbourdeauducq about 5 years ago
- 2 comments
#22 - Add SystemC as a meta-HDL
Pull Request -
State: closed - Opened by ribbas about 5 years ago
#22 - Add SystemC as a meta-HDL
Pull Request -
State: closed - Opened by ribbas about 5 years ago
#21 - content modification
Pull Request -
State: closed - Opened by swang203 over 5 years ago
#21 - content modification
Pull Request -
State: closed - Opened by swang203 over 5 years ago
#20 - New category about Hardware IRs
Pull Request -
State: closed - Opened by swang203 over 5 years ago
- 1 comment
#20 - New category about Hardware IRs
Pull Request -
State: closed - Opened by swang203 over 5 years ago
- 1 comment
#19 - Update README.md
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#19 - Update README.md
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#18 - Update README.md
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#18 - Update README.md
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#17 - Added Lola, a HDL made by Niklaus Wirth
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
#17 - Added Lola, a HDL made by Niklaus Wirth
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
#16 - Added Lola, a HDL made by Niklaus Wirth
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
- 1 comment
#16 - Added Lola, a HDL made by Niklaus Wirth
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
- 1 comment
#15 - Added VisualHDL
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#15 - Added VisualHDL
Pull Request -
State: closed - Opened by kaisbensalah over 5 years ago
#14 - Are we allow to add dead HDL made by famous computer scientist?
Issue -
State: closed - Opened by hungrymonkey over 5 years ago
- 7 comments
#14 - Are we allow to add dead HDL made by famous computer scientist?
Issue -
State: closed - Opened by hungrymonkey over 5 years ago
- 7 comments
#13 - Another open source C-based HLS to add
Issue -
State: closed - Opened by combinatorylogic over 5 years ago
- 1 comment
#13 - Another open source C-based HLS to add
Issue -
State: closed - Opened by combinatorylogic over 5 years ago
- 1 comment
#12 - Added ohwr
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
- 2 comments
#12 - Added ohwr
Pull Request -
State: closed - Opened by hungrymonkey over 5 years ago
- 2 comments