Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / dparkins/language-fortran issues and pull requests

#122 - Fixes Issue 108 - Autocomment not working for fixed fortran

Pull Request - State: closed - Opened by trihedral over 4 years ago - 12 comments

#121 - Fixes #120

Pull Request - State: closed - Opened by tomedunn over 4 years ago

#120 - semicolon and syntax-highlightning in if-else

Issue - State: closed - Opened by AlKa93 over 4 years ago

#119 - Folding with preprocessor directives

Issue - State: open - Opened by JureCerar over 4 years ago

#118 - Support/highlighting OpenMP Statements

Issue - State: open - Opened by eirikbrandsaas over 4 years ago - 1 comment

#117 - Syntax highlighting stop working in the middle of he file.

Issue - State: closed - Opened by MRedies over 4 years ago - 2 comments

#114 - Fixes #32

Pull Request - State: closed - Opened by tomedunn about 5 years ago

#113 - Indent after closing parenthesis

Issue - State: open - Opened by phil-blain about 5 years ago

#112 - The phrase "NB" is highlighted like an intrinsic when inside a comment

Issue - State: closed - Opened by bcaddy about 5 years ago - 2 comments

#111 - add snippets for ends of blocks that include a space

Pull Request - State: closed - Opened by michaelkonecny over 5 years ago

#110 - fix indention for 'forall' statement when it is a oneliner

Pull Request - State: closed - Opened by JHenneberg over 5 years ago

#109 - highlighting error with VALUE keyword in fixed format FORTRAN

Issue - State: open - Opened by capitalaslash over 5 years ago - 1 comment

#108 - Comment block in .f files is with wrong comment symbol

Issue - State: open - Opened by akjt almost 6 years ago

#107 - Highlighting fail in non-standard declarations

Issue - State: open - Opened by Edmundod about 6 years ago - 2 comments

#106 - changed readme to work around error messages

Pull Request - State: closed - Opened by PaulXiCao about 6 years ago

#105 - Feature request: Toggle to switch snippets to be all caps

Issue - State: closed - Opened by bcaddy about 6 years ago - 4 comments
Labels: enhancement

#103 - Working on a tree-sitter-fortran grammar

Issue - State: open - Opened by stadelmanma over 6 years ago

#102 - Fixes #96

Pull Request - State: open - Opened by tomedunn about 7 years ago

#101 - Highlighting fails in long and messy fortran files

Issue - State: open - Opened by vkbo about 7 years ago - 4 comments

#100 - Syntax highlighting for fortran is not satisfying

Issue - State: closed - Opened by ahelm about 7 years ago - 3 comments

#99 - Problematic auto indent of preprocessor directives

Issue - State: open - Opened by packet0 about 7 years ago - 3 comments

#98 - Fixes #97

Pull Request - State: closed - Opened by tomedunn about 7 years ago

#97 - Rewind not highlighted.

Issue - State: closed - Opened by SolidTux about 7 years ago - 3 comments

#96 - FORMAT statement syntax highlighting is wonky

Issue - State: open - Opened by stadelmanma over 7 years ago

#95 - gcov highlighting if you are interested

Issue - State: closed - Opened by stadelmanma over 7 years ago - 2 comments

#94 - Add submodule snippet

Pull Request - State: closed - Opened by cbcoutinho over 7 years ago - 15 comments

#93 - Fixes #92

Pull Request - State: closed - Opened by tomedunn over 7 years ago

#92 - Highlights unformatted write statements as errors

Issue - State: closed - Opened by chris-revell over 7 years ago - 2 comments

#91 - Fixes #90

Pull Request - State: closed - Opened by tomedunn almost 8 years ago

#90 - Highlights FORALL statements as errors

Issue - State: closed - Opened by chris-revell almost 8 years ago - 4 comments

#89 - forgot ios default option with the `close` snippet

Pull Request - State: closed - Opened by cbcoutinho almost 8 years ago

#88 - Changed all ios /= 0 statements to include a default value of ios.

Pull Request - State: closed - Opened by cbcoutinho almost 8 years ago

#87 - variable not highlighted in dummy variable declaration

Issue - State: closed - Opened by cbcoutinho almost 8 years ago - 1 comment

#86 - Fixes #85

Pull Request - State: closed - Opened by tomedunn almost 8 years ago

#85 - `bind` statement is not formatted

Issue - State: closed - Opened by cbcoutinho almost 8 years ago - 1 comment

#84 - Folding code doesn't follow program structure

Issue - State: open - Opened by cbcoutinho almost 8 years ago - 5 comments

#83 - 'Fortran - Fixed Form' highlights after column 72

Issue - State: open - Opened by DrCrlsn almost 8 years ago - 2 comments

#82 - Partially fixes #81

Pull Request - State: closed - Opened by tomedunn almost 8 years ago

#81 - 'Fortran - Fixed Form' highlights after column 72

Issue - State: closed - Opened by DrCrlsn almost 8 years ago - 2 comments

#80 - Fixes #78

Pull Request - State: closed - Opened by tomedunn over 8 years ago

#79 - Adds new functions to address #78

Pull Request - State: closed - Opened by tomedunn over 8 years ago

#78 - Toggle comment in fixed-form grammar

Issue - State: closed - Opened by dpo over 8 years ago - 14 comments

#77 - Several small updates.

Pull Request - State: closed - Opened by tomedunn over 8 years ago

#76 - preprocessor directives not highlighted correctly

Issue - State: closed - Opened by steabert over 8 years ago - 3 comments

#75 - "Go to Declaration" does not work

Issue - State: open - Opened by filipenevola over 8 years ago - 14 comments

#74 - Changes default grammars

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#73 - end if

Issue - State: closed - Opened by jacobwilliams almost 9 years ago - 3 comments

#72 - do loop not highligted correctly

Issue - State: open - Opened by bhidem almost 9 years ago - 3 comments

#71 - Fixes #70

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#70 - `type` variable confuse syntax highlighting

Issue - State: closed - Opened by Luthaf almost 9 years ago - 2 comments

#69 - Fixes #67

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#68 - Fixes #66

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#67 - variable attributes being skipped

Issue - State: closed - Opened by tomedunn almost 9 years ago - 1 comment

#66 - index in do and do concurrent

Issue - State: closed - Opened by maxlevesque almost 9 years ago - 3 comments

#65 - Fixes #64

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#64 - auto-indent gets wrong if a line contains the word "function"

Issue - State: closed - Opened by maxlevesque almost 9 years ago - 5 comments

#63 - Fixes #62

Pull Request - State: closed - Opened by tomedunn almost 9 years ago - 1 comment

#62 - & in derived type declarations

Issue - State: closed - Opened by maxlevesque almost 9 years ago - 1 comment

#61 - colouring of module names

Issue - State: closed - Opened by maxlevesque almost 9 years ago - 3 comments

#60 - Updates preprocessor rules

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#59 - Type bound subroutines not being highlighted in call statements

Issue - State: open - Opened by tomedunn almost 9 years ago - 2 comments

#58 - Fixes #57

Pull Request - State: closed - Opened by tomedunn almost 9 years ago

#57 - coloring of subroutine calls

Issue - State: closed - Opened by maxlevesque almost 9 years ago - 3 comments

#56 - New fixed and free form grammars

Pull Request - State: closed - Opened by tomedunn about 9 years ago

#55 - c in first column: problem with close() intrinsic in free format

Issue - State: closed - Opened by maxlevesque about 9 years ago - 2 comments

#54 - color of procedures in types that share name with intrinsic function

Issue - State: closed - Opened by maxlevesque about 9 years ago - 4 comments

#53 - Fixes #52

Pull Request - State: closed - Opened by tomedunn about 9 years ago

#52 - Comments not detected correctly

Issue - State: closed - Opened by bhidem about 9 years ago - 1 comment

#51 - Highlight C preprocessor directives in .f90 files

Issue - State: open - Opened by marcosvanella about 9 years ago - 16 comments

#50 - "!" does not highlight the line as a comment

Issue - State: closed - Opened by anupshrestha about 9 years ago - 3 comments

#49 - A line starting with (!) is not recognized as a comment in .f files

Issue - State: closed - Opened by guziy about 9 years ago - 2 comments

#48 - Package.loadSettings is deprecated.

Issue - State: closed - Opened by fncdevita about 9 years ago

#46 - Fixes #45

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#45 - sub <TAB> inserting broken regex

Issue - State: closed - Opened by jamesp over 9 years ago - 3 comments

#44 - Auto-indent of line continuation

Issue - State: open - Opened by Ileyk-zz over 9 years ago - 3 comments

#43 - Updates CHANGELOG.md

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#42 - Update change log

Issue - State: closed - Opened by tomedunn over 9 years ago

#41 - Remove activationEvents fix deprecation warnings

Pull Request - State: closed - Opened by oyarzun over 9 years ago - 1 comment

#40 - Fixes #39

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#39 - error stop

Issue - State: closed - Opened by maxlevesque over 9 years ago - 1 comment

#38 - Fixes #37

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#37 - Atom freezes when I start typing the word "Class"

Issue - State: closed - Opened by kgerheiser over 9 years ago - 4 comments

#36 - Fixes #35

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#35 - Un-typed variable with dimension causes atom to hang

Issue - State: closed - Opened by dparkins over 9 years ago

#34 - Deprecation warning due to the use of scoped_properties instead of setings

Issue - State: closed - Opened by elezar over 9 years ago - 4 comments

#32 - Comments not working in derived type definition constructs

Issue - State: closed - Opened by tomedunn over 9 years ago - 1 comment

#31 - Several small fixes.

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#30 - Auto-Indent Loop termination

Issue - State: open - Opened by ajclarke90 over 9 years ago - 12 comments

#28 - Enums are not highlighted in Fortran modern

Issue - State: closed - Opened by elezar over 9 years ago - 1 comment

#27 - Fixes #20

Pull Request - State: closed - Opened by tomedunn over 9 years ago

#26 - Extension of language

Issue - State: closed - Opened by elezar over 9 years ago - 3 comments

#20 - Variables names with "end" in them terminate the current block

Issue - State: closed - Opened by elezar over 9 years ago - 3 comments

#11 - source statement not highlighted

Issue - State: closed - Opened by maxlevesque almost 10 years ago - 2 comments

#2 - Missing highlight

Issue - State: closed - Opened by cpazinatto over 10 years ago - 3 comments