Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / conda-forge/uvloop-feedstock issues and pull requests

#46 - uvloop v0.20.0 - including latest `c_stdlib`

Pull Request - State: closed - Opened by jan-janssen about 1 month ago - 5 comments
Labels: automerge

#45 - uvloop v0.20.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 2 months ago - 1 comment

#44 - Rebuild for PyPy3.9

Pull Request - State: closed - Opened by regro-cf-autotick-bot 11 months ago - 3 comments

#43 - uvloop v0.19.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot 11 months ago - 4 comments
Labels: automerge

#42 - uvloop v0.18.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot 12 months ago - 10 comments
Labels: automerge

#41 - Rebuild for python312

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 1 year ago - 2 comments
Labels: automerge

#40 - Rebuild for python311

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 2 years ago - 3 comments
Labels: automerge

#39 - uvloop v0.17.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 2 years ago - 1 comment

#38 - Rebuild for PyPy3.8 and PyPy3.9

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 2 years ago - 4 comments
Labels: bot-rerun

#37 - Rebuild for pypy37

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 3 years ago - 3 comments
Labels: bot-rerun

#36 - Rebuild for python310

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 3 years ago - 2 comments
Labels: automerge

#35 - uvloop v0.16.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 3 years ago - 1 comment

#34 - uvloop v0.15.3

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 3 years ago - 2 comments
Labels: automerge

#33 - uvloop v0.15.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 1 comment

#32 - uvloop v0.15.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 1 comment

#31 - Rebuild for pypy37

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments

#30 - ARM OSX Migrator

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments

#29 - Add @jakirkham as a maintainer

Pull Request - State: closed - Opened by jakirkham over 3 years ago - 5 comments

#28 - uvloop v0.15.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 7 comments

#27 - ARM OSX Migrator

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments
Labels: bot-rerun

#26 - MNT: rerender

Pull Request - State: closed - Opened by conda-forge-linter over 3 years ago - 1 comment

#25 - @conda-forge-admin, please re-render

Issue - State: closed - Opened by jakirkham over 3 years ago - 4 comments

#24 - Rebuild for pypy37

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments
Labels: bot-rerun

#24 - Rebuild for pypy37

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments
Labels: bot-rerun

#24 - Rebuild for pypy37

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 3 years ago - 3 comments
Labels: bot-rerun

#23 - Building on Windows

Issue - State: open - Opened by jakirkham almost 4 years ago - 1 comment

#23 - Building on Windows

Issue - State: open - Opened by jakirkham almost 4 years ago - 1 comment

#23 - Building on Windows

Issue - State: open - Opened by jakirkham almost 4 years ago - 1 comment

#22 - Arch Migrator

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 4 years ago - 1 comment

#22 - Arch Migrator

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 4 years ago - 1 comment

#22 - Arch Migrator

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 4 years ago - 1 comment

#21 - Rebuild for python39

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 4 years ago - 1 comment

#20 - Rebuild for pypy

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 4 years ago - 1 comment

#19 - uvloop v0.14.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 5 years ago - 10 comments

#18 - Rebuild for python38

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 5 years ago - 1 comment

#17 - uvloop v0.13.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 5 years ago - 2 comments

#16 - uvloop v0.12.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 5 years ago - 1 comment

#15 - uvloop v0.12.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 5 years ago - 1 comment

#15 - uvloop v0.12.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 5 years ago - 1 comment

#15 - uvloop v0.12.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 5 years ago - 1 comment

#14 - update circle

Issue - State: closed - Opened by carlodri over 5 years ago - 1 comment

#14 - update circle

Issue - State: closed - Opened by carlodri over 5 years ago - 1 comment

#14 - update circle

Issue - State: closed - Opened by carlodri over 5 years ago - 1 comment

#13 - add myself as maintainer [skip ci]

Pull Request - State: closed - Opened by carlodri over 5 years ago - 4 comments

#13 - add myself as maintainer [skip ci]

Pull Request - State: closed - Opened by carlodri over 5 years ago - 4 comments

#12 - uvloop v0.12.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 5 years ago - 4 comments

#11 - uvloop v0.11.3

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 6 years ago - 3 comments

#11 - uvloop v0.11.3

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 6 years ago - 3 comments

#10 - Rebuild for Python 3.7, GCC 7, R 3.5.1, openBLAS 0.3.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 6 years ago - 1 comment

#10 - Rebuild for Python 3.7, GCC 7, R 3.5.1, openBLAS 0.3.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot almost 6 years ago - 1 comment

#9 - uvloop v0.11.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago - 4 comments

#9 - uvloop v0.11.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago - 4 comments

#8 - uvloop v0.11.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago - 3 comments

#8 - uvloop v0.11.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago - 3 comments

#8 - uvloop v0.11.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago - 3 comments

#7 - @conda-forge-admin, please update for conda-build 3

Issue - State: closed - Opened by jakirkham about 6 years ago - 8 comments

#7 - @conda-forge-admin, please update for conda-build 3

Issue - State: closed - Opened by jakirkham about 6 years ago - 8 comments

#6 - uvloop v0.11.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot about 6 years ago

#5 - uvloop v0.10.2

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 6 years ago - 1 comment

#4 - uvloop v0.10.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 6 years ago - 1 comment

#3 - uvloop v0.10.0

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 6 years ago - 2 comments

#2 - uvloop v0.9.1

Pull Request - State: closed - Opened by regro-cf-autotick-bot over 6 years ago - 1 comment

#1 - Bump version to 0.9.1

Pull Request - State: closed - Opened by nehaljwani almost 7 years ago - 1 comment

#1 - Bump version to 0.9.1

Pull Request - State: closed - Opened by nehaljwani almost 7 years ago - 1 comment