Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / cocotb/cocotb issues and pull requests

#4172 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 6 days ago - 1 comment

#4171 - JUnit output is not valid

Issue - State: open - Opened by ktbarrett 6 days ago - 3 comments
Labels: type:bug, category:codebase:tests

#4169 - Documentation updates

Pull Request - State: open - Opened by imphil 7 days ago - 3 comments

#4167 - [stable/1.9] Backport PR #4151: Enable Python 3.13 builds

Pull Request - State: closed - Opened by imphil 8 days ago - 1 comment
Labels: type:backport

#4163 - Add documentation for 'inheriting' from the test decorator

Pull Request - State: closed - Opened by ktbarrett 9 days ago - 1 comment

#4162 - Fix location reported by LogicArray.__bool__ deprecation

Pull Request - State: closed - Opened by ktbarrett 9 days ago - 1 comment

#4161 - How to force x/z states to a signal?

Issue - State: closed - Opened by Elefseus 10 days ago - 3 comments
Labels: type:question

#4160 - Document how to "inherit from" cocotb.test

Issue - State: closed - Opened by imphil 11 days ago
Labels: type:feature, category:docs

#4159 - Allow bool casts of single-bit LogicArray instances

Issue - State: open - Opened by imphil 11 days ago - 3 comments
Labels: type:feature

#4158 - Improve FutureWarning around bool casts in LogicArray

Issue - State: closed - Opened by imphil 11 days ago
Labels: type:feature

#4157 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 13 days ago - 1 comment

#4156 - Enhance performance debugging

Issue - State: open - Opened by ktbarrett 14 days ago
Labels: type:feature, category:performance

#4154 - Problem running cocotb with Questa and Modelsim

Issue - State: open - Opened by TarikHamedovic 18 days ago - 4 comments
Labels: status:needs-info

#4152 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 20 days ago - 1 comment

#4152 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 20 days ago - 1 comment

#4151 - Enable Python 3.13 builds

Pull Request - State: closed - Opened by cmarqu 21 days ago - 5 comments
Labels: backport-to:1.9, status:backport-created

#4150 - GHDL: Deposit does not work as expected. It forces the signal

Issue - State: open - Opened by sacatak 24 days ago - 1 comment
Labels: category:simulators:ghdl, upstream, status:needs-upstream-report

#4150 - GHDL: Deposit does not work as expected. It forces the signal

Issue - State: open - Opened by sacatak 24 days ago - 1 comment
Labels: category:simulators:ghdl, upstream, status:needs-upstream-report

#4149 - Explicitly track Task state

Pull Request - State: open - Opened by ktbarrett 26 days ago - 1 comment

#4149 - Explicitly track Task state

Pull Request - State: open - Opened by ktbarrett 26 days ago - 1 comment

#4148 - Rename cocotb.parameterize to cocotb.parametrize

Pull Request - State: closed - Opened by ktbarrett 26 days ago - 3 comments

#4147 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 27 days ago - 1 comment

#4146 - Experiment with sphinx-autodoc2

Pull Request - State: open - Opened by cmarqu 27 days ago - 1 comment
Labels: category:docs

#4146 - Experiment with sphinx-autodoc2

Pull Request - State: open - Opened by cmarqu 27 days ago - 1 comment
Labels: category:docs

#4143 - Add (deprecated) `__bool__` cast for LogicArray

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 4 comments

#4143 - Add (deprecated) `__bool__` cast for LogicArray

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 4 comments

#4142 - Logic array `int` constructor + various fixes

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4142 - Logic array `int` constructor + various fixes

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4141 - Version 1.9 fails to work with Verilator when --trace option is used

Issue - State: closed - Opened by Derrick-Derrickson about 1 month ago - 1 comment

#4140 - Change Verilator expectation of ReadWrite in ReadWrite

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4138 - Getting a orientation of a signal (if it's IN, OUT, or INOUT)

Issue - State: open - Opened by icecase532 about 1 month ago - 1 comment
Labels: type:feature

#4137 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] about 1 month ago - 2 comments

#4136 - Deregister Event Triggers when a Task awaiting one is killed

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4135 - `_Event._unprime` does not deregister the object with `Event`

Issue - State: closed - Opened by ktbarrett about 1 month ago
Labels: type:bug

#4132 - how to generate file dumpwave by cocotb

Issue - State: closed - Opened by AnhHonag about 1 month ago

#4131 - how to change file dumpwave by cocotb not by tool

Issue - State: closed - Opened by AnhHonag about 1 month ago - 1 comment

#4130 - Rename `cocotb.parameterize` to `cocotb.parametrize`

Issue - State: closed - Opened by cmarqu about 1 month ago

#4129 - Remove `cocotb.decorators`, use `cocotb` namespace

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4128 - Fix memory leak of Python callbacks

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4127 - failure due to deprecated MODULE in testcase Makefile

Issue - State: open - Opened by ahmedalsawi about 1 month ago - 1 comment
Labels: type:bug, good first issue, category:ci

#4126 - libgpilog broke on arm64 recently

Issue - State: closed - Opened by paul-demo about 1 month ago - 7 comments

#4125 - Emit TypeError if invalid type used when constructing Logic

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4124 - Cleanup exception types

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: type:cleanup

#4122 - cocotb integration into a c++ and sv/uvm environment

Issue - State: closed - Opened by bqinTT about 1 month ago

#4121 - Add tests for moving to ReadOnly from various time phases

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4120 - Moving from ReadWrite phase to ReadOnly phase behaves incorrectly in Riviera VHPI

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: category:simulators:riviera, upstream

#4119 - Moving from a Value Change phase to ReadOnly phase behaves incorrectly in Riviera VHPI

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: category:simulators:riviera, upstream

#4118 - Fix sim phase tracking bug in scheduler

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 3 comments

#4117 - Explore autodoc2 for Sphinx

Issue - State: open - Opened by imphil about 1 month ago
Labels: type:feature

#4116 - Improve `Array` and `LogicArray` indexing performance

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 2 comments

#4115 - Apply writes in ReadWrite phase immediately

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 1 comment

#4114 - Test writes in `ReadWrite`

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 2 comments
Labels: category:ci, category:codebase:scheduler

#4113 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] about 1 month ago - 1 comment

#4112 - CI: Gate log dumping in backport action

Pull Request - State: closed - Opened by imphil about 1 month ago - 2 comments

#4111 - 1.9.1 backports part1

Pull Request - State: closed - Opened by imphil about 1 month ago - 2 comments

#4110 - Fix some documentation issues

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 10 comments
Labels: backport-to:1.9, status:backport-created

#4109 - Use EXTRA_ARGS correctly in Makefile.verilator

Pull Request - State: closed - Opened by ktbarrett about 1 month ago - 4 comments
Labels: backport-to:1.9, status:backport-created

#4108 - how to write interface with dut has signal inout

Issue - State: closed - Opened by AnhHonag about 1 month ago - 3 comments
Labels: type:question

#4107 - Add a GitHub workflow to automatically backport changes

Pull Request - State: closed - Opened by imphil about 1 month ago - 2 comments

#4106 - Ensure GPI returns binstr values always in uppercase

Issue - State: closed - Opened by ktbarrett about 1 month ago
Labels: type:feature, category:performance, category:codebase:gpi

#4105 - Questa re-enters cocotb when setimmediatevalue is called with value change callback

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: category:simulators:questa, upstream

#4104 - Questa VPI noisy when finding non-vector logic objects

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: type:bug, category:codebase:handle

#4103 - Xcelium re-enters cocotb when setimmediatevalue is called with value change callback

Issue - State: open - Opened by ktbarrett about 1 month ago
Labels: category:simulators:xcelium, upstream

#4102 - Rename cocotb.sim_time_utils back to cocotb.utils

Pull Request - State: closed - Opened by ktbarrett about 1 month ago

#4101 - More LogicArray features

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 1 comment

#4100 - Add "trusted" constructor to LogicArray for performance

Issue - State: closed - Opened by ktbarrett about 2 months ago
Labels: type:feature, category:performance, category:codebase:handle

#4099 - Iterating through VPI objects with invalid vpi types

Issue - State: open - Opened by jakelongo about 2 months ago - 6 comments
Labels: type:bug, category:simulators:vcs, upstream

#4098 - [1.9] Backports and Documentation Fixes

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 5 comments

#4097 - Improve cached_property backport

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 1 comment

#4096 - Interact with `libpython` through the `dlopen` handle

Pull Request - State: closed - Opened by ipburbank about 2 months ago - 1 comment

#4095 - Update build following change to setuptools/distutils

Pull Request - State: closed - Opened by ktbarrett about 2 months ago

#4094 - Build failures due to new setuptools

Issue - State: closed - Opened by ktbarrett about 2 months ago - 1 comment
Labels: type:bug, category:ci

#4093 - LogicArray changes

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 8 comments

#4092 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] about 2 months ago

#4091 - [Tracking] Python configuration and environment issues

Issue - State: open - Opened by ktbarrett about 2 months ago - 1 comment

#4090 - C++ fixes

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 4 comments

#4089 - Support SIM_ARGS in Verilator Makefile

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 1 comment
Labels: backport-to:1.9

#4088 - verilator not generate dump.vcd file when has "EXTRA_ARGS += --trace --trace-structs" in Makefile

Issue - State: closed - Opened by AnhHonag about 2 months ago - 6 comments
Labels: type:bug

#4087 - Deprecate `Join()` and `task.join()`

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 1 comment

#4086 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] about 2 months ago - 1 comment

#4085 - Guard VHDL-specific option in Xcelium Python runner.

Pull Request - State: closed - Opened by ktbarrett about 2 months ago - 2 comments

#4084 - Docs: Add missing return type and parameter documentation to docstrings

Issue - State: open - Opened by marlonjames about 2 months ago
Labels: category:docs, type:cleanup

#4083 - GLIBC_PRIVATE not found error with VCS U-2023.03

Issue - State: closed - Opened by polyee13 2 months ago - 6 comments
Labels: type:question, category:simulators:vcs

#4082 - Can't set string variables using `vpiInertialDelay` in Questa

Issue - State: open - Opened by ktbarrett 2 months ago
Labels: category:simulators:questa, upstream, status:needs-upstream-report

#4081 - Can't set string variables using `vpiInertialDelay` in Xcelium

Issue - State: open - Opened by ktbarrett 2 months ago
Labels: category:simulators:xcelium, upstream

#4080 - Don't force `vpiNoDelay` when writing strings

Pull Request - State: closed - Opened by ktbarrett 2 months ago - 2 comments

#4079 - Remove the `data` field from `Event`

Pull Request - State: closed - Opened by ktbarrett 2 months ago - 2 comments
Labels: category:codebase:scheduler

#4078 - [pre-commit.ci] pre-commit autoupdate

Pull Request - State: closed - Opened by pre-commit-ci[bot] 2 months ago - 1 comment

#4077 - Icarus Python runner should set toplevel at end of arguments

Issue - State: open - Opened by ktbarrett 2 months ago
Labels: type:bug, category:codebase:project-automation

#4076 - Added pyuvm references to README.md

Pull Request - State: closed - Opened by raysalemi 2 months ago - 3 comments

#4074 - FST trace not working with verilator

Issue - State: closed - Opened by jhladik 2 months ago - 10 comments
Labels: status:close?, category:simulators:verilator, upstream

#4073 - QuickStart Example Error

Issue - State: closed - Opened by xiuhu17 2 months ago - 1 comment