Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / chipsalliance/verible issues and pull requests

#43 - [space] Unneeded space in ternaries

Issue - State: closed - Opened by msfschaffner about 5 years ago - 2 comments
Labels: good first issue, formatter

#42 - macro call arguments not sufficiently partitioned

Issue - State: closed - Opened by msfschaffner about 5 years ago - 3 comments
Labels: formatter

#41 - [line breaks, wraps] Multiline string initializer

Issue - State: closed - Opened by msfschaffner about 5 years ago - 3 comments
Labels: formatter, opentitan

#40 - [indentation] 4 space vs 2 space indentation in portlists

Issue - State: closed - Opened by msfschaffner about 5 years ago - 11 comments
Labels: formatter

#39 - [token partitioning] group else-if together

Issue - State: closed - Opened by msfschaffner about 5 years ago - 5 comments
Labels: formatter

#38 - [token partitioning] covergroup declaration should fit on one line, but is split

Issue - State: closed - Opened by msfschaffner about 5 years ago - 1 comment
Labels: formatter

#37 - [space] & binary operator needs space on both sides

Issue - State: closed - Opened by msfschaffner about 5 years ago - 3 comments
Labels: good first issue, formatter

#36 - [line breaks, wraps] Line break tuning

Issue - State: open - Opened by msfschaffner about 5 years ago - 7 comments
Labels: formatter

#35 - [macro] Macro argument alignment/placement

Issue - State: closed - Opened by msfschaffner about 5 years ago - 2 comments
Labels: formatter

#34 - [line breaks, wraps] Parameterized module instantiation

Issue - State: closed - Opened by msfschaffner about 5 years ago - 11 comments
Labels: formatter

#33 - [line breaks, wraps] Multiline parameter break tuning

Issue - State: open - Opened by msfschaffner about 5 years ago - 3 comments
Labels: formatter

#32 - [Indentation] Multiline list parameter

Issue - State: closed - Opened by msfschaffner about 5 years ago - 3 comments
Labels: formatter

#31 - [token partitioning] clocking declarations

Issue - State: closed - Opened by msfschaffner about 5 years ago - 2 comments

#30 - Awkward $sformatf() line break

Issue - State: closed - Opened by msfschaffner about 5 years ago - 5 comments
Labels: formatter, opentitan

#29 - Task formal arguments put on a new line

Issue - State: closed - Opened by msfschaffner about 5 years ago - 11 comments
Labels: formatter

#28 - [tabular] Handling of tabular alignment

Issue - State: open - Opened by msfschaffner about 5 years ago - 4 comments
Labels: formatter

#27 - [uvm macros, indentation] Indenting uvm macros with begin/end semantic

Issue - State: closed - Opened by msfschaffner about 5 years ago - 4 comments
Labels: formatter

#26 - [token partitioning] else statements need to start own partition

Issue - State: closed - Opened by msfschaffner about 5 years ago - 6 comments
Labels: good first issue, formatter

#25 - [line breaks, module, intf] Interface and module port list formatting

Issue - State: closed - Opened by msfschaffner about 5 years ago - 14 comments
Labels: formatter

#24 - module instantiation should have space after instance identifier

Issue - State: closed - Opened by fangism about 5 years ago - 1 comment
Labels: good first issue, formatter

#23 - module declaration should have space before '(' for ports

Issue - State: closed - Opened by fangism about 5 years ago - 1 comment
Labels: good first issue, formatter

#22 - Incorrect indentation of $display() after #delay

Issue - State: closed - Opened by fangism about 5 years ago - 2 comments
Labels: good first issue, formatter

#21 - DPI imports in modules need own partition

Issue - State: closed - Opened by fangism about 5 years ago - 1 comment
Labels: good first issue, formatter

#20 - Unwanted space in "default :", should be "default:"

Issue - State: closed - Opened by fangism about 5 years ago - 2 comments
Labels: good first issue, formatter

#19 - Function-like (callable) keywords should not have space before '('

Issue - State: closed - Opened by fangism about 5 years ago - 1 comment
Labels: good first issue, formatter

#18 - Need space between ':' and ';' (default case null statement)

Issue - State: closed - Opened by fangism about 5 years ago - 2 comments
Labels: good first issue, formatter

#17 - modport declarations should start on own line

Issue - State: closed - Opened by fangism about 5 years ago - 2 comments
Labels: good first issue, formatter

#16 - Forbid anonymous enums, require enums to be typedef'd.

Issue - State: closed - Opened by fangism over 5 years ago - 4 comments
Labels: good first issue, style-linter

#15 - Provide simple file-list flag

Issue - State: open - Opened by hzeller over 5 years ago - 2 comments

#14 - signals must be named lower_snake_case-style

Issue - State: closed - Opened by fangism over 5 years ago - 2 comments
Labels: style-linter

#13 - Enforce correct/consistent use of blocking/non-blocking assignments

Issue - State: closed - Opened by fangism over 5 years ago - 1 comment
Labels: style-linter

#12 - Ban use of defparam

Issue - State: closed - Opened by fangism over 5 years ago - 1 comment
Labels: good first issue, style-linter

#11 - Set up Kokoro to build and run Verible tests

Issue - State: closed - Opened by mithro over 5 years ago - 3 comments
Labels: build system, testing

#10 - Set up Travis-CI to build verible and run the tests

Issue - State: closed - Opened by mithro over 5 years ago
Labels: testing

#9 - Adding initial Kokoro integration.

Pull Request - State: closed - Opened by mithro over 5 years ago - 6 comments
Labels: cla: yes

#8 - Set up initial travis.

Pull Request - State: closed - Opened by hzeller over 5 years ago - 1 comment
Labels: cla: yes

#7 - indent statement comments inside tasks and functions

Issue - State: closed - Opened by fangism over 5 years ago - 1 comment
Labels: good first issue, formatter

#6 - nonblocking assignments shouldn't be joined onto one line

Issue - State: closed - Opened by fangism over 5 years ago - 1 comment
Labels: good first issue, formatter

#5 - Unwanted space after # in delay expression

Issue - State: closed - Opened by fangism over 5 years ago
Labels: good first issue, formatter

#4 - GNU autotools build

Issue - State: open - Opened by fangism over 5 years ago
Labels: enhancement, build system

#3 - Give mithro access to repository

Issue - State: closed - Opened by mithro over 5 years ago - 1 comment
Labels: administrative

#2 - Make sure cla-bot is working

Issue - State: closed - Opened by mithro over 5 years ago - 2 comments

#1 - Integrate with FuseSoC

Issue - State: open - Opened by mithro over 5 years ago - 4 comments
Labels: package management