Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / calyxir/calyx issues and pull requests

#1832 - Identify loop induction variables

Issue - State: open - Opened by rachitnigam 9 months ago - 5 comments
Labels: Status: Needs Triage, C: calyx-opt

#1828 - Zero-cycle transitions from dynamic to static control

Issue - State: closed - Opened by rachitnigam 9 months ago - 35 comments
Labels: C: Calyx, Status: Available, C: static-cleanup

#1813 - Inliner revamp

Issue - State: open - Opened by rachitnigam 10 months ago - 12 comments
Labels: Status: Available, C: calyx-opt

#1810 - Queues: generalize PIFOs

Issue - State: closed - Opened by anshumanmohan 10 months ago - 6 comments
Labels: Status: Available, good first issue, C: Queues

#1785 - eDSL: `static_if_with`

Issue - State: open - Opened by anshumanmohan 11 months ago - 2 comments
Labels: Status: Available, C: calyx-py

#1746 - [Cider] Debug adapter tracker

Issue - State: closed - Opened by EclecticGriffin 12 months ago - 1 comment
Labels: Type: Tracker

#1733 - Create an AXI-interface generator implemented Calyx

Issue - State: open - Opened by nathanielnrn about 1 year ago - 11 comments
Labels: Type: Tracker, C: FPGA

#1730 - [Fud] Rename `SourceType.Terminal` to something less confusing

Issue - State: closed - Opened by EclecticGriffin about 1 year ago - 1 comment
Labels: C: fud, good first issue

#1673 - Systolic, NTT: use more helpers for cleaner eDSL code

Issue - State: closed - Opened by anshumanmohan about 1 year ago - 9 comments
Labels: Status: Available, C: calyx-py

#1661 - Hoist redundant parallel actions

Issue - State: open - Opened by anshumanmohan about 1 year ago - 2 comments
Labels: Status: Available, good first issue, C: calyx-opt

#1660 - FIFO, PIFO, PIFOTree: document the interface

Issue - State: closed - Opened by anshumanmohan about 1 year ago
Labels: Status: Available, C: Docs, C: Queues

#1579 - [cider] Flattening the interpreter

Issue - State: closed - Opened by EclecticGriffin over 1 year ago - 5 comments
Labels: Type: Tracker, C: Interpreter / Cider

#1569 - Semantics of Component Inlining/ Possible Bug in Component Inlining

Issue - State: open - Opened by calebmkim over 1 year ago - 5 comments
Labels: Type: Bug

#1549 - [fud] Process paths with spaces correctly

Issue - State: open - Opened by EclecticGriffin over 1 year ago - 7 comments
Labels: C: fud

#1526 - [semantics] Semantics of `Empty` vs `Seq`/`Par(Empty)`

Issue - State: closed - Opened by EclecticGriffin over 1 year ago - 9 comments
Labels: C: Calyx, Status: Needs Triage

#1480 - Documentation for `static` fragment of Calyx

Issue - State: closed - Opened by rachitnigam over 1 year ago - 1 comment
Labels: C: Docs, Calyx 2.0

#1371 - [Compiler] Move responsibility for opening files outside `Backend` traits `emit` fn

Issue - State: closed - Opened by EclecticGriffin over 1 year ago - 1 comment
Labels: C: Internal

#1363 - Support `new_fsm` attribute for tdst pass

Issue - State: closed - Opened by calebmkim over 1 year ago - 8 comments
Labels: Type: Pass, Priority: Low, Calyx 2.0

#1362 - Allow non-decimal values in parameters (e.g. `std_const`)

Issue - State: closed - Opened by EclecticGriffin over 1 year ago - 2 comments
Labels: C: Calyx, good first issue

#1361 - Expand keyword checks

Issue - State: open - Opened by EclecticGriffin over 1 year ago - 3 comments
Labels: C: Calyx, Status: Available

#1119 - `ir::Builder::add_group()` should take a done condition

Issue - State: open - Opened by calebmkim about 2 years ago - 1 comment
Labels: Status: Available, good first issue, C: Internal

#962 - Transient Conflicts in Interpretation Loop

Issue - State: closed - Opened by EclecticGriffin over 2 years ago - 1 comment
Labels: Type: Bug, C: Interpreter / Cider, Status: Needs Triage

#754 - [library] Remove blocking statements.

Issue - State: open - Opened by cgyurgyik almost 3 years ago - 2 comments
Labels: Status: Available, C: Library, good first issue

#497 - Bit splitting in Calyx.

Issue - State: closed - Opened by cgyurgyik over 3 years ago - 7 comments
Labels: Status: Available, C: Library, good first issue

#382 - Reducing control fan-out

Issue - State: open - Opened by rachitnigam over 3 years ago - 5 comments
Labels: C: Calyx, Status: Available

#140 - Optimizations

Issue - State: closed - Opened by sgpthomas about 4 years ago - 5 comments
Labels: Type: Tracker

#100 - Remove unused passes

Issue - State: closed - Opened by sgpthomas over 4 years ago

#99 - Interpreter

Pull Request - State: closed - Opened by kwf37 over 4 years ago - 6 comments

#98 - Functional redundant par

Pull Request - State: closed - Opened by rachitnigam over 4 years ago - 1 comment

#97 - Should `valid` ports be explicit?

Issue - State: closed - Opened by sgpthomas over 4 years ago - 4 comments
Labels: Status: Discussion needed

#96 - Remove generating Verilog header from Makefile

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment

#95 - Specify implementations for library files

Issue - State: closed - Opened by sgpthomas over 4 years ago - 4 comments
Labels: Status: Discussion needed

#94 - [WIP] Mvp verilog

Pull Request - State: closed - Opened by sgpthomas over 4 years ago - 3 comments

#93 - Make pretty printing color methods more general

Issue - State: closed - Opened by sgpthomas over 4 years ago
Labels: Priority: Low

#92 - Remove Structure ast statements from the structure graph representation

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Priority: Low

#91 - Verilog backend incomplete

Issue - State: closed - Opened by sgpthomas over 4 years ago - 2 comments

#90 - Make calyx a library

Pull Request - State: closed - Opened by sgpthomas over 4 years ago - 2 comments

#89 - Are parallel enables always equivalent to a single enable?

Issue - State: closed - Opened by sgpthomas over 4 years ago - 3 comments

#88 - Interpreter

Issue - State: closed - Opened by kwf37 over 4 years ago - 2 comments
Labels: Type: Tracker, Status: Stale

#87 - Use newtype for ast::Id

Pull Request - State: closed - Opened by sgpthomas over 4 years ago - 1 comment

#86 - Rewrite RedundantPar functionally

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Priority: Low

#85 - Backend CLI

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#84 - More Cleanup

Pull Request - State: closed - Opened by rachitnigam over 4 years ago

#83 - remove structure specific code from component

Pull Request - State: closed - Opened by rachitnigam over 4 years ago - 1 comment

#82 - Delete unused

Pull Request - State: closed - Opened by rachitnigam over 4 years ago

#81 - Repo re-org

Pull Request - State: closed - Opened by rachitnigam over 4 years ago

#80 - How to convert `while` to `enable`?

Issue - State: closed - Opened by tissue3 over 4 years ago - 6 comments
Labels: Status: Discussion needed

#79 - Collapse seq

Pull Request - State: closed - Opened by tissue3 over 4 years ago - 4 comments

#78 - Remove if

Pull Request - State: closed - Opened by tissue3 over 4 years ago - 1 comment

#77 - remove unused control constructs

Issue - State: closed - Opened by sgpthomas over 4 years ago

#76 - simple loop unrolling

Issue - State: closed - Opened by sgpthomas over 4 years ago - 3 comments
Labels: Type: Pass

#75 - simple automatic parallelization through distinct sub-graph detection

Issue - State: closed - Opened by sgpthomas over 4 years ago - 6 comments
Labels: Type: Pass, Status: Stale

#74 - Sequence collapsing

Issue - State: closed - Opened by sgpthomas over 4 years ago
Labels: Type: Pass

#73 - Testing infrastructure

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment

#72 - generate and install command line completion files

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Priority: Low

#71 - Add CLI option to output to file

Issue - State: closed - Opened by sgpthomas over 4 years ago
Labels: Priority: Low

#70 - If to Enable pass can result in data harzard

Issue - State: closed - Opened by tissue3 over 4 years ago - 4 comments

#69 - Fail on warnings for github action

Issue - State: closed - Opened by sgpthomas over 4 years ago

#68 - Named backends

Issue - State: closed - Opened by sgpthomas over 4 years ago

#67 - Reorganize repo

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment

#66 - modified readme

Pull Request - State: closed - Opened by tissue3 over 4 years ago

#65 - Accept enable

Pull Request - State: closed - Opened by tissue3 over 4 years ago - 1 comment

#64 - Verilog backend validation broken

Issue - State: closed - Opened by sgpthomas over 4 years ago

#63 - fix examples

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#62 - ifseq and while-seq examples are broken

Issue - State: closed - Opened by rachitnigam over 4 years ago

#61 - Rtlbackend

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#60 - Improve error handling system

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Priority: Low

#59 - Cleanup unused code

Issue - State: closed - Opened by sgpthomas over 4 years ago

#58 - Rewrite component lib functionally

Pull Request - State: closed - Opened by rachitnigam over 4 years ago - 1 comment

#57 - ast_examples/counter.futil cannot be parsed

Issue - State: closed - Opened by rachitnigam over 4 years ago - 1 comment

#56 - Instructions for compiler development in README

Issue - State: closed - Opened by rachitnigam over 4 years ago

#55 - Probably don't need mutable control for visitor

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Priority: Low

#54 - Resource Sharing Optimization

Issue - State: closed - Opened by sgpthomas over 4 years ago - 2 comments
Labels: Type: Pass, Status: Stale

#53 - Timing, Area and Energy Backend Information

Issue - State: closed - Opened by sgpthomas over 4 years ago - 1 comment
Labels: Status: Stale

#52 - Implement HLS scheduling

Issue - State: closed - Opened by sgpthomas over 4 years ago - 5 comments

#51 - Refactor

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#50 - Rough CSP-style Futil Proposal

Issue - State: closed - Opened by sgpthomas over 4 years ago - 3 comments
Labels: Status: Stale

#49 - Reducing the size of generated verilog file

Issue - State: closed - Opened by tissue3 over 4 years ago - 2 comments
Labels: Status: Discussion needed

#48 - Loan System Proposal

Issue - State: closed - Opened by sgpthomas over 4 years ago - 2 comments

#47 - Refactoring

Issue - State: closed - Opened by sgpthomas over 4 years ago

#46 - Configurable control

Issue - State: closed - Opened by rachitnigam over 4 years ago
Labels: Priority: Low, Status: Stale

#45 - Sexpy

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#44 - Data lut

Pull Request - State: closed - Opened by sgpthomas over 4 years ago

#43 - Workshop Conference List

Issue - State: closed - Opened by kwf37 over 4 years ago - 6 comments

#42 - Cmdline

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago

#41 - Fsm gen

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago

#40 - Cleaned up RTL gen code for structure

Pull Request - State: closed - Opened by kwf37 almost 5 years ago

#39 - Fixpoint

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago

#38 - If fsm

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago

#37 - Automated Futil AST generation

Issue - State: closed - Opened by sgpthomas almost 5 years ago

#36 - Structural representation design problem

Issue - State: closed - Opened by sgpthomas almost 5 years ago

#35 - Pretty Printer

Issue - State: closed - Opened by sgpthomas almost 5 years ago

#34 - Library Declaration Proposal

Issue - State: closed - Opened by sgpthomas almost 5 years ago - 10 comments

#33 - Paper list

Issue - State: closed - Opened by sgpthomas almost 5 years ago - 1 comment

#32 - Add the enable/disable mux as new primitive

Issue - State: closed - Opened by sgpthomas almost 5 years ago

#31 - Muxify

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago

#30 - Lowered Futil Requirements

Issue - State: closed - Opened by kwf37 almost 5 years ago

#29 - Add license

Issue - State: closed - Opened by sgpthomas almost 5 years ago

#28 - RTL Backend

Pull Request - State: closed - Opened by kwf37 almost 5 years ago

#27 - tiny change just to make branch

Pull Request - State: closed - Opened by sgpthomas almost 5 years ago