Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / calyxir/calyx issues and pull requests

#2071 - Sharing Bug Fix

Pull Request - State: open - Opened by calebmkim 4 months ago - 3 comments

#2071 - Sharing Bug Fix

Pull Request - State: open - Opened by calebmkim 4 months ago - 3 comments

#2070 - [fud2] Plugins with Starlark

Pull Request - State: open - Opened by sgpthomas 4 months ago - 1 comment

#2069 - Add ports with attributes to python builder

Pull Request - State: open - Opened by nathanielnrn 4 months ago

#2068 - Use unique-per-run working directory

Pull Request - State: open - Opened by ethanuppal 4 months ago - 1 comment

#2067 - Tracker: binary heaps

Issue - State: open - Opened by anshumanmohan 4 months ago - 3 comments
Labels: Type: Tracker, C: Queues

#2066 - [fud2] Mode that is friendly to parallel builds, with a unique per-run workdir name

Issue - State: open - Opened by sampsyo 4 months ago - 3 comments
Labels: C: fud2

#2065 - [fud2] Always send Ninja's stdout to our stderr

Pull Request - State: closed - Opened by sampsyo 4 months ago
Labels: C: fud2

#2065 - [fud2] Always send Ninja's stdout to our stderr

Pull Request - State: closed - Opened by sampsyo 4 months ago
Labels: C: fud2

#2064 - [Cider2] Continuous assigns + `with`

Pull Request - State: closed - Opened by EclecticGriffin 4 months ago

#2063 - [fud2] First pass for custom testbench support

Pull Request - State: closed - Opened by ayakayorihiro 4 months ago - 3 comments
Labels: C: fud2

#2063 - [fud2] First pass for custom testbench support

Pull Request - State: closed - Opened by ayakayorihiro 4 months ago - 3 comments
Labels: C: fud2

#2062 - Combinational memories in `gen_exp` and `gen_ntt_pipeline`

Issue - State: closed - Opened by anshumanmohan 4 months ago - 1 comment
Labels: good first issue, C: calyx-py

#2062 - Combinational memories in `gen_exp` and `gen_ntt_pipeline`

Issue - State: closed - Opened by anshumanmohan 4 months ago - 1 comment
Labels: good first issue, C: calyx-py

#2061 - Floating-point constants

Issue - State: open - Opened by rachitnigam 4 months ago - 3 comments
Labels: Status: Discussion needed, C: Calyx

#2061 - Floating-point constants

Issue - State: open - Opened by rachitnigam 4 months ago
Labels: Status: Discussion needed, C: Calyx

#2061 - Floating-point constants

Issue - State: open - Opened by rachitnigam 4 months ago
Labels: Status: Discussion needed, C: Calyx

#2060 - Docs: fix link in NTT

Pull Request - State: closed - Opened by anshumanmohan 4 months ago

#2060 - Docs: fix link in NTT

Pull Request - State: closed - Opened by anshumanmohan 4 months ago

#2059 - Docs: correct command to list passes

Pull Request - State: closed - Opened by anshumanmohan 4 months ago - 4 comments

#2058 - [fud2] Check Ninja exit status and report errors

Pull Request - State: closed - Opened by sampsyo 4 months ago - 3 comments
Labels: C: fud2

#2057 - Compilation bug: overeager cell sharing

Issue - State: open - Opened by anshumanmohan 4 months ago - 9 comments
Labels: Type: Bug, C: Calyx

#2055 - Docs: Fix all links to interpreter.md

Pull Request - State: closed - Opened by anshumanmohan 4 months ago

#2055 - Docs: Fix all links to interpreter.md

Pull Request - State: closed - Opened by anshumanmohan 4 months ago

#2055 - Docs: Fix all links to interpreter.md

Pull Request - State: closed - Opened by anshumanmohan 4 months ago

#2054 - [Cider 2] Misc fixes + fud2 integration

Pull Request - State: closed - Opened by EclecticGriffin 4 months ago

#2054 - [Cider 2] Misc fixes + fud2 integration

Pull Request - State: closed - Opened by EclecticGriffin 4 months ago

#2054 - [Cider 2] Misc fixes + fud2 integration

Pull Request - State: closed - Opened by EclecticGriffin 4 months ago

#2054 - [Cider 2] Misc fixes + fud2 integration

Pull Request - State: closed - Opened by EclecticGriffin 4 months ago

#2053 - Docs: sequential memories

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2052 - eDSL: Tidy memories

Pull Request - State: closed - Opened by anshumanmohan 5 months ago - 2 comments

#2051 - Add some signed primitives

Pull Request - State: closed - Opened by sgpthomas 5 months ago - 3 comments

#2050 - Docs: CIDR configuration instructions

Issue - State: closed - Opened by anshumanmohan 5 months ago - 4 comments
Labels: C: Interpreter / Cider, C: Docs

#2049 - Docs: correct path in MrXL page

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2048 - eDSL: combinational components

Pull Request - State: closed - Opened by anshumanmohan 5 months ago - 3 comments

#2047 - Builder: Support for Combinational Components

Issue - State: closed - Opened by anshumanmohan 5 months ago - 1 comment
Labels: Status: Discussion needed, C: calyx-py

#2046 - `fud`: remove dependency on `calyx-py`

Issue - State: open - Opened by anshumanmohan 5 months ago
Labels: Status: Needs Triage, C: fud, C: calyx-py

#2045 - Docs: document `calyx-py` installation step more clearly

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2044 - [Cider 2] Connect to fud2 and the existing test suites

Issue - State: closed - Opened by EclecticGriffin 5 months ago
Labels: C: Interpreter / Cider, C: fud2

#2043 - [Cider 2] Barebones Par

Pull Request - State: closed - Opened by EclecticGriffin 5 months ago

#2042 - `@one_hot` attribute

Pull Request - State: closed - Opened by calebmkim 5 months ago

#2041 - [Cider 2] Memory loading & dumps

Pull Request - State: closed - Opened by EclecticGriffin 5 months ago

#2040 - [Profiling] Modifications to TDCC and scripts for first pass profiling

Pull Request - State: closed - Opened by ayakayorihiro 5 months ago - 2 comments

#2039 - `gen_msb`: Tidying using modern eDSL

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2038 - eDSL: no `cells` list when defining new component

Pull Request - State: closed - Opened by anshumanmohan 5 months ago - 3 comments

#2037 - Add One-Hot Encoding for Static FSMs

Pull Request - State: closed - Opened by calebmkim 5 months ago - 2 comments

#2036 - Systolic: modern eDSL features

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2035 - eDSL: Fix method names

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2034 - NTT: using a few "modern" eDSL features

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2033 - Add a `StaticSchedule` Analysis

Pull Request - State: closed - Opened by calebmkim 5 months ago - 1 comment

#2032 - place compile-invoke after static-promotion

Pull Request - State: closed - Opened by paili0628 5 months ago - 4 comments

#2031 - changes on pass and primitives

Pull Request - State: closed - Opened by paili0628 5 months ago

#2030 - Add bypass register primitive

Pull Request - State: open - Opened by matth2k 5 months ago - 3 comments

#2029 - Update docs to note `fud` dependency on `calyx-py`

Pull Request - State: closed - Opened by calebmkim 5 months ago - 1 comment

#2028 - Queues: queues with lengths as powers of 2

Pull Request - State: closed - Opened by anshumanmohan 5 months ago - 1 comment

#2027 - Queues: Tidy the FIFO and PIFO

Pull Request - State: closed - Opened by anshumanmohan 5 months ago - 2 comments

#2026 - Docs: Document the three kinds of queues

Pull Request - State: closed - Opened by anshumanmohan 5 months ago

#2025 - Only trigger push event on main

Pull Request - State: closed - Opened by rachitnigam 5 months ago

#2024 - Generate or-and trees for guarded assignments

Pull Request - State: open - Opened by rachitnigam 5 months ago - 3 comments

#2023 - Remove `@externalized` attribute and update `@data` documentation

Pull Request - State: closed - Opened by calebmkim 5 months ago - 1 comment

#2022 - Metadata

Pull Request - State: closed - Opened by eliascxstro 5 months ago

#2020 - Separate Out FSM in IR when during TDCC and `compile-static`

Issue - State: open - Opened by calebmkim 5 months ago - 21 comments
Labels: Status: Needs Triage, C: calyx-opt

#2019 - `@data` carried over to external memories

Pull Request - State: closed - Opened by calebmkim 6 months ago - 8 comments

#2018 - Probably fix #2016: Sort ports when compiling refs

Pull Request - State: closed - Opened by sampsyo 6 months ago - 2 comments

#2016 - Nondeterminism in wire initialization when using `ref` cells.

Issue - State: closed - Opened by nathanielnrn 6 months ago
Labels: Type: Bug, C: Calyx

#2015 - Allowing `invokes` to pass in subtypes to `ref` cells

Issue - State: closed - Opened by nathanielnrn 6 months ago - 1 comment
Labels: Status: Discussion needed, C: Calyx

#2014 - [Profiling] Tracker Issue for Profiling first steps

Issue - State: open - Opened by ayakayorihiro 6 months ago - 4 comments
Labels: Type: Tracker

#2012 - `@data` default assignment optimization does not work on external memories

Issue - State: closed - Opened by andrewb1999 6 months ago - 3 comments
Labels: Type: Bug, C: Calyx, AMC

#2010 - eDSL: Make register names optional

Pull Request - State: closed - Opened by anshumanmohan 6 months ago - 4 comments

#2007 - Fix typo.

Pull Request - State: closed - Opened by cgyurgyik 6 months ago - 1 comment

#2002 - eDSL: new example, new docs

Pull Request - State: closed - Opened by anshumanmohan 6 months ago

#2000 - Actually parallelize reduction trees

Pull Request - State: closed - Opened by anshumanmohan 6 months ago - 1 comment

#1999 - Queues: Rethinking our PIFO

Issue - State: closed - Opened by anshumanmohan 6 months ago - 2 comments
Labels: Status: Available, C: Queues

#1997 - Introduce verilog -> cocotb simulation `fud2` path

Pull Request - State: closed - Opened by nathanielnrn 6 months ago - 3 comments
Labels: C: FPGA, C: fud2

#1993 - Toplevel `ref` cells are not kept after `compile_invoke` pass

Issue - State: closed - Opened by nathanielnrn 6 months ago - 1 comment
Labels: C: Calyx, C: FPGA

#1987 - Data conversion part 2

Pull Request - State: closed - Opened by Angelica-Schell 6 months ago

#1985 - Use External Libraries To Generate A Single Verilog File

Issue - State: open - Opened by jiahanxie353 7 months ago
Labels: Type: Tracker, Status: In progress, C: Verilog

#1981 - Add `CONTRIBUTING.md`

Issue - State: open - Opened by rachitnigam 7 months ago - 3 comments

#1979 - New metadata format

Issue - State: closed - Opened by eliascxstro 7 months ago - 2 comments

#1977 - Data conversion

Pull Request - State: closed - Opened by Angelica-Schell 7 months ago - 2 comments

#1972 - Add infrastructure for integrating BTOR2 interpreter into Calyx

Pull Request - State: closed - Opened by obhalerao 7 months ago - 3 comments

#1968 - [Cider 2] Handle memory input/output

Issue - State: closed - Opened by EclecticGriffin 7 months ago - 4 comments

#1965 - merge Fud2 example

Pull Request - State: closed - Opened by eys29 7 months ago

#1958 - [fud2] Hypergraph

Issue - State: closed - Opened by sampsyo 7 months ago - 6 comments
Labels: Status: Available, C: fud2

#1951 - Calyx LSP Tracker / Wishlist

Issue - State: open - Opened by sgpthomas 7 months ago
Labels: Type: Tracker, C: calyx-lsp

#1950 - Improve LSP error reporting

Pull Request - State: closed - Opened by sgpthomas 7 months ago - 5 comments

#1932 - Change `yxi` backend to look for `ref` memories in addition to `@external` memories

Issue - State: closed - Opened by nathanielnrn 7 months ago - 1 comment
Labels: C: Calyx, C: FPGA

#1930 - [Do not merge] Bug with `default-assigns`

Pull Request - State: open - Opened by rachitnigam 8 months ago
Labels: Type: Bug

#1928 - Calyx wrapper for Berkeley HardFloat Verilog library

Pull Request - State: open - Opened by jiahanxie353 8 months ago - 37 comments

#1913 - Cider 2.0 Tracker Issue

Issue - State: closed - Opened by EclecticGriffin 8 months ago - 4 comments
Labels: Type: Tracker, C: Interpreter / Cider

#1908 - Docs: refresh the page about the eDSL

Issue - State: closed - Opened by anshumanmohan 8 months ago - 5 comments
Labels: C: Docs

#1902 - Release 0.7.0 Tracker

Issue - State: closed - Opened by rachitnigam 8 months ago
Labels: Type: Tracker

#1885 - Docs: further documentation of `static` features

Issue - State: open - Opened by anshumanmohan 8 months ago
Labels: Status: Available, C: Docs

#1878 - fud2 Tracker

Issue - State: open - Opened by sampsyo 8 months ago - 12 comments
Labels: Type: Tracker, C: fud2

#1875 - Supporting external writes to registers

Pull Request - State: open - Opened by rachitnigam 8 months ago - 4 comments

#1863 - [Calyx-FIRRTL] Script for generating FIRRTL primitives

Pull Request - State: closed - Opened by ayakayorihiro 9 months ago - 1 comment

#1844 - Vcalyx

Pull Request - State: closed - Opened by hackedy 9 months ago - 3 comments