Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / ava-labs/hypersdk issues and pull requests

#437 - [ci] Add test to ensure mocks are up-to-date

Pull Request - State: open - Opened by Dimitar-St 10 months ago

#436 - [vm] Block Pruning (Default to Only Keeping Last 768 Blocks)

Pull Request - State: open - Opened by patrick-ogrady 10 months ago - 5 comments
Labels: run load, run unit, run sync

#435 - [x/merkledb] Separate MerkleDB for Intermediate/Value Nodes

Pull Request - State: closed - Opened by patrick-ogrady 10 months ago
Labels: run load, run unit, run sync

#433 - docs: Update default-spec command

Pull Request - State: open - Opened by exdx 10 months ago

#432 - [x/programs] refactor init

Pull Request - State: closed - Opened by samliok 10 months ago
Labels: run load, run unit, run sync

#431 - [x/programs] Remove initial function set when initializing runtime

Pull Request - State: closed - Opened by samliok 10 months ago
Labels: run load, run unit, run sync

#429 - new-type wrapper around `u64`

Issue - State: open - Opened by samliok 10 months ago

#427 - [chain] Remove Parent Block Dependency during Verify

Pull Request - State: closed - Opened by patrick-ogrady 10 months ago - 3 comments
Labels: run load, run unit, run sync

#425 - [chain] Defer State Root Generation

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 4 comments
Labels: run load, run unit, run sync

#424 - [tests] Defer Accept in Load Tests

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago
Labels: run load

#423 - [chain] Defer Root Generation

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 1 comment

#422 - `maybe.Maybe`

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago

#421 - docs: fix typos

Pull Request - State: closed - Opened by omahs 11 months ago

#420 - fix vm readme link

Pull Request - State: closed - Opened by RodrigoVillar 11 months ago

#419 - [email protected]

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago
Labels: run load, run unit, run sync

#416 - [x/programs] add runtime client

Pull Request - State: open - Opened by hexfusion 11 months ago

#415 - [testing] Add load test block gap

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago
Labels: run load

#413 - [xprograms] add vm simulator

Pull Request - State: open - Opened by hexfusion 11 months ago

#411 - [tokenvm] GUI

Pull Request - State: open - Opened by patrick-ogrady 11 months ago

#410 - [network] Increase Poll Concurrency

Issue - State: open - Opened by patrick-ogrady 11 months ago

#409 - [metrics] Store Total Keys + State Size in State

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: devnet blocker

#406 - [tokenvm] Limit orders per pair

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago

#405 - [metrics] Add Metric for % of Txs that Node Never Saw Before Accept

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: telemetry

#404 - [cli] Pack Block Prices

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago

#403 - [x/programs] add metering benchmarks

Pull Request - State: open - Opened by hexfusion 11 months ago
Labels: run load, run unit, run sync, telemetry

#402 - [README] Create an "Awesome HyperSDK" Section

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: meta

#400 - [x/programs] add cargo fmt to rust linter

Pull Request - State: closed - Opened by hexfusion 11 months ago
Labels: ci, run load, run unit, run sync

#399 - [chain] Start with single block deferred verification (root is that of parent)

Issue - State: closed - Opened by patrick-ogrady 11 months ago - 4 comments
Labels: enhancement, experiment

#398 - [chain] Return Unit Usage/Unit Price with Streaming Blocks

Issue - State: closed - Opened by patrick-ogrady 11 months ago
Labels: devnet blocker

#397 - [ui] build non-technical UI using wails.io

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: devnet blocker

#396 - [chain] Fix cold/warm mods

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 1 comment
Labels: run unit, run sync

#395 - [x/programs/rust] Use clippy::pedantic in the SDK

Pull Request - State: closed - Opened by richardpringle 11 months ago - 1 comment
Labels: run load, run unit, run sync

#394 - [x/programs/rust] Remove unnessecary parentheses

Pull Request - State: closed - Opened by richardpringle 11 months ago - 1 comment
Labels: run load, run unit, run sync

#392 - [order book] limit number of entries based on best price

Issue - State: closed - Opened by patrick-ogrady 11 months ago
Labels: devnet blocker

#391 - [x/gossip] Activity-Based Gossip

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 7 comments
Labels: run load, run unit, run sync

#390 - [x/gossip] Prioritize FIFO Gossip from Validators

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: enhancement

#389 - [x/programs] simplify metering

Pull Request - State: closed - Opened by hexfusion 11 months ago
Labels: run load, run unit, run sync

#388 - [x/programs] serialize/deserialize using serde

Pull Request - State: closed - Opened by samliok 11 months ago - 1 comment
Labels: run load, run unit, run sync

#387 - [x/programs] Add Info to README

Issue - State: open - Opened by patrick-ogrady 11 months ago

#386 - [x/programs] Improve Type System

Issue - State: closed - Opened by samliok 11 months ago

#385 - [x/programs] fork morpheus vm to examples/wrvm

Pull Request - State: open - Opened by hexfusion 11 months ago

#384 - [x/programs] improve dev UX

Issue - State: open - Opened by hexfusion 11 months ago - 1 comment

#383 - [mempool] FIFO

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago

#382 - [auth] Limit Unit Usage to Prevent RPC DoS

Issue - State: open - Opened by patrick-ogrady 11 months ago

#381 - extensible AppGossip handling

Issue - State: open - Opened by aphexmunky 11 months ago

#378 - [chain] Use TxIDs as address

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: experiment

#377 - [README] Spacing Nits

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago

#376 - How to submit two transactions in the integration tests(morpheusvm as template)

Issue - State: closed - Opened by bianyuanop 11 months ago - 2 comments
Labels: question

#371 - Update README.md with StarHistory

Pull Request - State: closed - Opened by J-dev740 11 months ago

#368 - [x/programs] add rust linter

Pull Request - State: closed - Opened by hexfusion 11 months ago
Labels: ci, run load, run unit, run sync

#367 - [x/programs] Add Rust Lint Action

Issue - State: closed - Opened by patrick-ogrady 11 months ago

#366 - Size-Based Storage Fees

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 3 comments

#363 - [README] Migrate to Star Charts

Issue - State: closed - Opened by patrick-ogrady 11 months ago - 1 comment
Labels: good first issue

#362 - How to add a cli function?

Issue - State: closed - Opened by bianyuanop 11 months ago - 6 comments
Labels: question

#360 - Add `x/programs`

Pull Request - State: closed - Opened by hexfusion 11 months ago - 3 comments
Labels: enhancement, run unit, run sync

#359 - [chain] Allow Transactions to output Multiple `Outputs`

Issue - State: open - Opened by patrick-ogrady 11 months ago - 1 comment
Labels: enhancement

#354 - [gossip] Optimize non-validator gossip + Clear Mempool/Unify Builder

Issue - State: closed - Opened by patrick-ogrady 11 months ago - 1 comment
Labels: devnet blocker

#352 - [chain] Multidimensional Fees + FIFO Mempool

Pull Request - State: closed - Opened by patrick-ogrady 11 months ago - 2 comments

#348 - [crypto/ed25519] Use cache with read-optimized locking

Issue - State: open - Opened by patrick-ogrady 11 months ago
Labels: enhancement

#334 - [ci] Add test to ensure mocks are up-to-date

Issue - State: open - Opened by patrick-ogrady 11 months ago - 1 comment
Labels: good first issue

#330 - trace: replace zipkin with uptrace

Pull Request - State: open - Opened by najeal 11 months ago - 11 comments

#304 - Add Async Block Building + Verification

Issue - State: open - Opened by patrick-ogrady 12 months ago

#302 - [experiment] Do we need to store blocks ourself?

Issue - State: open - Opened by patrick-ogrady 12 months ago - 3 comments
Labels: experiment

#286 - Use "Mark X" terminology for public testnets

Issue - State: open - Opened by patrick-ogrady 12 months ago
Labels: documentation, meta, devnet blocker

#265 - [morpheus/tokenvm] move `e2e` helpers to a shared package

Issue - State: open - Opened by patrick-ogrady 12 months ago - 2 comments
Labels: good first issue

#264 - [morpheusvm/tokenvm] move `integration` helpers to a shared package

Issue - State: open - Opened by patrick-ogrady 12 months ago - 4 comments
Labels: good first issue

#263 - [morpheusvm/tokenvm] move `scripts` to a shared directory

Issue - State: open - Opened by patrick-ogrady 12 months ago - 6 comments
Labels: good first issue

#251 - [experiment] Deferred Verification

Pull Request - State: open - Opened by patrick-ogrady 12 months ago - 1 comment
Labels: lifecycle/stale

#250 - [examples] Migrate Client Polling with `WaitTransaction` to WebSockets

Issue - State: open - Opened by patrick-ogrady 12 months ago
Labels: good first issue

#225 - Productionize stateless proof mechanism

Issue - State: open - Opened by patrick-ogrady about 1 year ago - 1 comment
Labels: lifecycle/stale

#212 - `TestServerPublishSpecific` is flaky

Issue - State: open - Opened by patrick-ogrady about 1 year ago - 2 comments
Labels: good first issue

#207 - [x/merkledb] Make merkle root generation async

Issue - State: open - Opened by patrick-ogrady about 1 year ago - 1 comment
Labels: experiment, lifecycle/stale

#206 - [experiment] pre-allocate a pool of memory to use for marshaling

Issue - State: open - Opened by patrick-ogrady about 1 year ago - 2 comments
Labels: lifecycle/stale

#202 - [proposervm] Expose block producer

Issue - State: open - Opened by patrick-ogrady about 1 year ago - 1 comment
Labels: lifecycle/stale

#193 - Add tests for network module

Issue - State: open - Opened by felipemadero about 1 year ago
Labels: good first issue

#175 - [rpc] WebSocket Message Batching

Pull Request - State: closed - Opened by patrick-ogrady about 1 year ago

#174 - Inline byte to string conversions

Pull Request - State: open - Opened by hexfusion about 1 year ago

#173 - Introduce `TxBlock` and `RootBlock`

Pull Request - State: open - Opened by patrick-ogrady about 1 year ago - 2 comments

#172 - Don't run cond-release on tag

Pull Request - State: closed - Opened by patrick-ogrady about 1 year ago

#171 - Misc Ports from #136 and #159

Pull Request - State: closed - Opened by patrick-ogrady about 1 year ago - 3 comments
Labels: bug, enhancement

#170 - [tstate] change map[key] from string to fixed size byte array

Pull Request - State: open - Opened by hexfusion about 1 year ago - 2 comments

#169 - Add VRF Module

Issue - State: open - Opened by patrick-ogrady about 1 year ago