Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / analogdevicesinc/hdl issues and pull requests

#1460 - axi_ad9361: Fixup LVDS RX_FRAME (#916)

Pull Request - State: open - Opened by gastmaier 4 days ago

#1459 - docs/projects: Migrate documentation for AD9656-FMC

Pull Request - State: open - Opened by cristianmihaipopa 5 days ago
Labels: doc

#1458 - docs/projects: Adding HDL project documentation for AD777x

Pull Request - State: closed - Opened by PopPaul2021 6 days ago - 1 comment
Labels: doc

#1457 - axi_adrv9001 SSI reset timing issues

Issue - State: closed - Opened by kylex2 7 days ago - 1 comment
Labels: new feature

#1456 - docs: remove ad7606 build warning

Pull Request - State: closed - Opened by LBFFilho 9 days ago

#1455 - util_axis_fifo: Fix tkeep signal value when KEEP_EN is 0

Pull Request - State: open - Opened by IstvanZsSzekely 11 days ago
Labels: bug

#1454 - axi_dmac: Fix regmap testbench default value

Pull Request - State: closed - Opened by IstvanZsSzekely 11 days ago
Labels: bug

#1453 - No released branch"hdl_2022_r1",only have "hdl_2022_r2"

Issue - State: closed - Opened by Marvelous-coco 11 days ago - 2 comments
Labels: new feature

#1452 - regmap: Fix list syntax

Pull Request - State: closed - Opened by gastmaier 11 days ago

#1451 - docs: Allow inheriting multiple register maps

Pull Request - State: closed - Opened by gastmaier 12 days ago

#1450 - docs: Add documentation & update IP GUI for AXI LTC2387

Pull Request - State: open - Opened by IuliaCMoldovan 13 days ago
Labels: enhancement, doc

#1449 - unit_level_tb: Fix testbench runs

Pull Request - State: closed - Opened by IstvanZsSzekely 16 days ago
Labels: bug

#1448 - Add ADC and DAC full register-map import templates

Pull Request - State: closed - Opened by AndreiGrozav 16 days ago
Labels: doc

#1447 - AD7405: Add parametrized make feature and HDL project documentation.

Pull Request - State: open - Opened by PopPaul2021 17 days ago
Labels: enhancement, doc

#1446 - docs: Cosmetic & functional fixes to comply with PDF generation req.

Pull Request - State: open - Opened by cristianmihaipopa 18 days ago - 5 comments
Labels: doc

#1445 - [next_stable] Bring fixes for ad9082/vpk180

Pull Request - State: closed - Opened by bia1708 19 days ago - 1 comment

#1444 - ad9213_evb: Add github documentation

Pull Request - State: closed - Opened by AndrDragomir 19 days ago - 2 comments
Labels: doc

#1443 - docs: Fix mistake in code snippet from Porting page and more

Pull Request - State: closed - Opened by IuliaCMoldovan 19 days ago
Labels: doc

#1442 - docs/projects: Adding ADAQ8092-FMC HDL project documentation.

Pull Request - State: closed - Opened by PopPaul2021 19 days ago - 1 comment
Labels: doc

#1441 - docs/projects: Adding documentation for EVAL-AD3552R board

Pull Request - State: closed - Opened by PopPaul2021 23 days ago - 1 comment
Labels: doc

#1440 - Regenerate Makefiles with mistakes

Pull Request - State: closed - Opened by IuliaCMoldovan 23 days ago

#1439 - Add support for LTC2325-16

Issue - State: open - Opened by sputnik2019 23 days ago
Labels: new feature

#1438 - adrv9026: Add vck190 support

Pull Request - State: open - Opened by AndrDragomir 23 days ago
Labels: newcarrier

#1437 - docs/projects: Adding documentation for CN0579

Pull Request - State: closed - Opened by PopPaul2021 23 days ago - 1 comment
Labels: doc

#1436 - adrv904x_vck190: Initial design

Pull Request - State: open - Opened by AndrDragomir 24 days ago - 3 comments
Labels: newcarrier

#1435 - [next_stable]: ad9081: vpk180: system_top: Renamed serial connections

Pull Request - State: closed - Opened by bluncan 24 days ago
Labels: bug

#1434 - ad9081: vpk180: system_top: Renamed serial connections

Pull Request - State: open - Opened by bluncan 24 days ago
Labels: bug

#1433 - Add support for AD408X on ZedBoard

Pull Request - State: open - Opened by PopPaul2021 25 days ago - 2 comments
Labels: newboard, doc

#1432 - Multiple documentation fixes and additions

Pull Request - State: closed - Opened by IuliaCMoldovan 25 days ago
Labels: doc

#1431 - Sysid parameters fixes

Pull Request - State: closed - Opened by bluncan 25 days ago

#1430 - [next_stable] Cherry-pick AD9265-FMC to Zed on next_stable

Pull Request - State: closed - Opened by SRaus 25 days ago

#1429 - SPI Engine: fix off-by-one sleep time

Pull Request - State: closed - Opened by LBFFilho 25 days ago

#1428 - AD5758 documentation

Pull Request - State: closed - Opened by PIoandan 27 days ago
Labels: doc

#1427 - docs: Add ADV7511-related project page

Pull Request - State: closed - Opened by alin724 27 days ago - 1 comment
Labels: doc

#1426 - docs: Add CN0506-related project page

Pull Request - State: closed - Opened by alin724 27 days ago - 1 comment
Labels: doc

#1425 - ad_gmsl2eth_sl: Rework assign_bd_address

Pull Request - State: open - Opened by gastmaier 30 days ago - 1 comment

#1424 - pulsar_adc: Add build options to include AD7944

Pull Request - State: open - Opened by sarpadi about 1 month ago - 1 comment
Labels: enhancement, doc

#1423 - ad411x_ad717x: DRDY Interrupt

Pull Request - State: open - Opened by PIoandan about 1 month ago
Labels: enhancement

#1422 - AD4110 documentation

Pull Request - State: closed - Opened by PIoandan about 1 month ago - 3 comments
Labels: doc

#1421 - docs: fix CNTRL_2 DATA_FORMAT field

Pull Request - State: open - Opened by spectrum70 about 1 month ago - 1 comment

#1420 - docs: Add Corundum IP Core

Pull Request - State: closed - Opened by gastmaier about 1 month ago

#1419 - projects: Change format for README.md

Pull Request - State: open - Opened by IuliaCMoldovan about 1 month ago
Labels: doc

#1418 - library/scripts/library.mk: Fix .lock cleaning for IPs requiring other IPs

Pull Request - State: closed - Opened by IuliaCMoldovan about 1 month ago - 1 comment
Labels: bug

#1417 - docs: Add AD-GMSL2ETH-SL-related project page

Pull Request - State: closed - Opened by alin724 about 1 month ago - 1 comment
Labels: doc

#1416 - (CI for #1201) TCL: ad_hpmx_interconnect

Pull Request - State: closed - Opened by gastmaier about 1 month ago - 3 comments

#1415 - docs: Add MAX96724-related project page

Pull Request - State: closed - Opened by alin724 about 1 month ago - 1 comment

#1414 - sysid: Fix mem init file path

Pull Request - State: closed - Opened by sarpadi about 1 month ago - 1 comment
Labels: bug

#1413 - Unable to access project documents

Issue - State: closed - Opened by srajan222 about 1 month ago - 1 comment

#1412 - Fix PN error flags generation when 1 RF Channel is used

Pull Request - State: closed - Opened by podgori about 2 months ago

#1411 - ad7606: Fix Readme.md

Pull Request - State: closed - Opened by StancaPop about 2 months ago

#1410 - Cherry-pick vpk180 support and some fixes from main to next_stable

Pull Request - State: closed - Opened by SRaus about 2 months ago

#1409 - Fixed nextstable timings not met

Pull Request - State: open - Opened by bluncan about 2 months ago - 2 comments

#1408 - [BUG] library: common: ad_dds: Incorrect Calculation of Phase Increment

Issue - State: open - Opened by ekigwana about 2 months ago - 2 comments
Labels: bug

#1407 - projects/common: Removed absent system_constr.xdc file

Pull Request - State: closed - Opened by alin724 about 2 months ago

#1406 - Fix ad485x fmcz typo

Pull Request - State: closed - Opened by AndreiGrozav about 2 months ago

#1405 - next_stable: Update branch with latest fixes from main

Pull Request - State: closed - Opened by bia1708 about 2 months ago

#1404 - projects/ad9265_fmc/zc706: Fix adc_clk freq -- 125MHz

Pull Request - State: closed - Opened by IuliaCMoldovan about 2 months ago
Labels: bug

#1403 - docs/projects/ad463x: Migrate documentation

Pull Request - State: closed - Opened by caosjr about 2 months ago - 2 comments

#1402 - ad9213_evb: vcu118: Fix synthesis (Vivado >= 2023.2)

Pull Request - State: closed - Opened by gastmaier about 2 months ago - 2 comments
Labels: bug

#1401 - Ad77681evb update

Pull Request - State: open - Opened by LBFFilho about 2 months ago - 1 comment

#1400 - ad_gmsl2eth_sl: Add missing mfp_*_p2 pins to pwm_gen-based logic

Pull Request - State: closed - Opened by alin724 about 2 months ago

#1399 - Fix: ad9209_fmca_ebz: vck190: Implemented the Versal reset changes

Pull Request - State: closed - Opened by bluncan about 2 months ago
Labels: bug

#1398 - doc: Update generic text in build section

Pull Request - State: closed - Opened by IuliaCMoldovan about 2 months ago

#1397 - Fix: ad9209_fmca_ebz: vck190: Implemented the Versal reset changes

Pull Request - State: closed - Opened by bluncan about 2 months ago - 2 comments

#1396 - Fix: ad9209_fmca_ebz: vck190: Implemented the Versal reset changes

Pull Request - State: closed - Opened by bluncan about 2 months ago

#1395 - fmcomms2: Fix synthesis (Vivado >= 2023.2)

Pull Request - State: closed - Opened by gastmaier about 2 months ago - 1 comment

#1394 - Fix sysid params

Pull Request - State: closed - Opened by sarpadi about 2 months ago - 2 comments

#1393 - Documentation general fixes

Pull Request - State: closed - Opened by cristianmihaipopa about 2 months ago
Labels: cosmetics, doc

#1392 - ad9213_vcu118: Missing generated clock for hmc7044_spi

Pull Request - State: closed - Opened by AndrDragomir about 2 months ago - 1 comment

#1391 - (CI for #1201) TCL: ad_hpmx_interconnect

Pull Request - State: closed - Opened by gastmaier about 2 months ago - 1 comment

#1390 - docs/projects/ad9467_fmc: Migrate documentation

Pull Request - State: closed - Opened by IuliaCMoldovan about 2 months ago
Labels: doc

#1389 - [BUG] Possible off-by-one in SPI Engine delay

Issue - State: closed - Opened by dlech 2 months ago - 4 comments
Labels: bug

#1387 - ad-gmsl2eth-sl: Add project and library

Pull Request - State: closed - Opened by gastmaier 2 months ago

#1386 - ad9081: add Stratix 10 support

Pull Request - State: closed - Opened by LBFFilho 2 months ago
Labels: newcarrier

#1385 - docs: Restructure sections and pages names

Pull Request - State: closed - Opened by IuliaCMoldovan 2 months ago

#1381 - Initial version with SPI for AD7124_asdz

Pull Request - State: closed - Opened by ladace 2 months ago - 3 comments

#1377 - docs/projects/ad9739a_fmc: Migrate documentation

Pull Request - State: closed - Opened by cristianmihaipopa 2 months ago - 4 comments
Labels: doc

#1376 - projects/ad760x: Add support for new parts. Update documentation

Pull Request - State: closed - Opened by StancaPop 2 months ago - 5 comments
Labels: new feature, doc

#1374 - adrv9371_kcu105: Fix timing

Pull Request - State: closed - Opened by AndrDragomir 2 months ago
Labels: bug

#1373 - AD411x/AD717x for de10nano

Pull Request - State: closed - Opened by PIoandan 3 months ago - 1 comment
Labels: newboard

#1363 - docs/projects/cn0577: Migrate documentation

Pull Request - State: closed - Opened by cristianmihaipopa 3 months ago
Labels: doc

#1360 - [BUG] SPI Engine sleep instructruction time is affected by word size

Issue - State: closed - Opened by dlech 3 months ago - 4 comments
Labels: bug

#1351 - cn0561: Set DCLK frequency to 50 MHz

Pull Request - State: closed - Opened by PIoandan 3 months ago
Labels: enhancement, doc

#1350 - ad4134: Set DCLK frequency to 50 MHz

Pull Request - State: closed - Opened by PIoandan 3 months ago - 2 comments
Labels: enhancement, doc

#1344 - m2k, axi_dac_interpolate: Add auto rearm feature

Pull Request - State: closed - Opened by AndreiGrozav 4 months ago
Labels: enhancement

#1337 - projects/scripts/adi_board: Updated ad_cpu interconnect

Pull Request - State: open - Opened by IstvanZsSzekely 4 months ago
Labels: bug, enhancement

#1332 - AXI DMAC: Add Framelock, AutoRun, fsync, tlast

Pull Request - State: open - Opened by gastmaier 4 months ago - 1 comment

#1326 - Agilex support for AD9081

Pull Request - State: open - Opened by bluncan 4 months ago - 1 comment
Labels: enhancement, newcarrier

#1315 - Dev adrv9001 jupiter rx2 tx1

Pull Request - State: closed - Opened by AndreiGrozav 5 months ago - 1 comment
Labels: bug, new feature

#1297 - docs: Add IP Cores, IP Cores guides

Pull Request - State: closed - Opened by gastmaier 6 months ago - 5 comments

#1287 - Util axis fifo asym

Pull Request - State: open - Opened by IstvanZsSzekely 7 months ago
Labels: bug

#1253 - projects: Port AD9265-FMC to ZedBoard & doc.

Pull Request - State: closed - Opened by cristianmihaipopa 8 months ago - 2 comments
Labels: newcarrier, doc

#1236 - Add ADI VNA 8 channels project

Pull Request - State: closed - Opened by zahitevrenkaya 10 months ago - 1 comment
Labels: newboard

#1233 - JESD204B support on VCK190

Pull Request - State: closed - Opened by bluncan 10 months ago - 1 comment
Labels: new feature

#1201 - TCL: ad_hpmx_interconnect

Pull Request - State: closed - Opened by liambeguin 11 months ago - 6 comments

#1186 - axi_jesd204_rx: Fixup write to up_cfg_buffer_delay

Pull Request - State: closed - Opened by gastmaier 12 months ago - 2 comments
Labels: bug

#1167 - HDL files for 2-24 GHz XMW TX/RX Platforms

Pull Request - State: closed - Opened by reemasaleem about 1 year ago - 1 comment
Labels: new feature

#1058 - axi_ad9361: Fix TX channel disable

Pull Request - State: closed - Opened by bwitherspoon over 1 year ago - 2 comments

#1026 - axi_hpx_interconnect clock name numbering issue

Issue - State: open - Opened by analog-system-compiler almost 2 years ago - 1 comment
Labels: bug