Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / agenium-scale/nsimd issues and pull requests

#106 - NSIMD generates too much assembly

Issue - State: closed - Opened by raphaelthegreat over 2 years ago - 5 comments

#105 - 3.0-rc1

Pull Request - State: closed - Opened by gquintin almost 3 years ago

#104 - C11

Pull Request - State: closed - Opened by gquintin about 3 years ago

#103 - Support Reg Size /2

Issue - State: open - Opened by ustcsq about 3 years ago - 1 comment
Labels: question

#102 - Vector<nsimd::pack<T>>

Issue - State: closed - Opened by ustcsq about 3 years ago - 4 comments
Labels: question

#101 - Wasm added operations on load

Pull Request - State: closed - Opened by xberault over 3 years ago

#100 - Performance different on X86 and ARM service

Issue - State: closed - Opened by luoming17 over 3 years ago - 2 comments
Labels: question, need more infos

#99 - Feature request: allow logical arguments for if_else1

Issue - State: closed - Opened by eschnett over 3 years ago - 2 comments
Labels: enhancement

#98 - Added support for powerpc vsx & vmx

Pull Request - State: closed - Opened by xberault over 3 years ago

#97 - Calculate i32 horizontal sum in nsimd.

Issue - State: closed - Opened by luoming17 over 3 years ago - 3 comments
Labels: enhancement, question

#96 - Document "andnot"

Issue - State: closed - Opened by eschnett over 3 years ago
Labels: enhancement, already fixed for next release

#95 - Compile nsimd-2.2 on the ARM server

Issue - State: closed - Opened by Pancrase over 3 years ago - 2 comments
Labels: question

#94 - Provide a constexpr size function

Issue - State: open - Opened by eschnett over 3 years ago - 4 comments
Labels: question

#93 - Implement a `flipsign` function

Issue - State: closed - Opened by eschnett over 3 years ago - 1 comment

#92 - Provide more "inline" operators such as copysign, isfinite, etc...

Issue - State: open - Opened by eschnett over 3 years ago - 2 comments
Labels: enhancement

#91 - Use Sleef math functions to complete NSIMD

Issue - State: closed - Opened by gquintin over 3 years ago
Labels: enhancement, already fixed for next release

#90 - Provide fabs, fmax, fmin

Issue - State: closed - Opened by eschnett over 3 years ago - 2 comments
Labels: enhancement, already fixed for next release

#89 - mask_for_loop_tail produces scalar code

Issue - State: closed - Opened by eschnett over 3 years ago - 2 comments
Labels: question

#88 - Provide += operators etc.

Issue - State: closed - Opened by eschnett over 3 years ago
Labels: enhancement, already fixed for next release

#87 - Provide cbrt

Issue - State: closed - Opened by eschnett over 3 years ago - 1 comment

#86 - NSIMD SVE intrinsics generates movprfx

Issue - State: closed - Opened by gquintin over 3 years ago - 3 comments
Labels: enhancement, already fixed for next release

#85 - Provide a C11 API for core

Issue - State: closed - Opened by gquintin over 3 years ago
Labels: enhancement, already fixed for next release

#84 - nsimd defines `i64` etc. in global namespace

Issue - State: open - Opened by eschnett over 3 years ago - 3 comments
Labels: bug

#83 - Cannot build 2.0 release

Issue - State: closed - Opened by eschnett over 3 years ago - 6 comments
Labels: bug, already fixed for next release

#82 - Add support for CMake (CMakeLists.txt and FindNsimd.cmake as a start)

Issue - State: closed - Opened by NK-Nikunj over 3 years ago - 6 comments
Labels: enhancement, already fixed for next release

#81 - Support for WebAssembly SIMD

Issue - State: open - Opened by omnisip almost 4 years ago - 35 comments
Labels: enhancement

#80 - [setup.bat] change NSTOOLS_URL in setup.sh/setup.bat

Issue - State: closed - Opened by ThomasRetornaz almost 4 years ago - 1 comment
Labels: bug, already fixed for next release

#79 - Correct instructions in README

Pull Request - State: closed - Opened by eschnett almost 4 years ago - 1 comment

#78 - Self-test errors on macOS

Issue - State: closed - Opened by eschnett almost 4 years ago - 19 comments
Labels: question

#77 - Merge for v2

Pull Request - State: closed - Opened by gquintin almost 4 years ago

#76 - Compilation issue with msvc 2015 on 32 bits target

Issue - State: closed - Opened by fabienhe almost 4 years ago - 10 comments
Labels: bug, already fixed for next release

#75 - How to use SSE2 128-bit pack in my project?

Issue - State: closed - Opened by luoming17 almost 4 years ago - 2 comments
Labels: question

#74 - max_len structure now compatible with C++ < 14

Pull Request - State: closed - Opened by kennypewpew about 4 years ago

#73 - Clz

Pull Request - State: closed - Opened by kennypewpew about 4 years ago

#72 - Citing NSIMD in a research paper

Issue - State: open - Opened by NK-Nikunj over 4 years ago - 3 comments
Labels: question

#71 - Don't build andnotb tests for f16

Pull Request - State: closed - Opened by PaulGannay over 4 years ago

#70 - Debug output in test cases?

Issue - State: closed - Opened by eschnett over 4 years ago - 2 comments
Labels: bug, already fixed for next release

#69 - gen_tests: Correct operator names

Pull Request - State: closed - Opened by eschnett over 4 years ago

#68 - Three tests failing on MacOS (Intel, AVX2)

Issue - State: closed - Opened by eschnett over 4 years ago - 1 comment
Labels: bug, already fixed for next release

#67 - Too many arguments for format string

Issue - State: closed - Opened by eschnett over 4 years ago
Labels: bug, already fixed for next release

#66 - Usage of SVE pack with vector

Issue - State: closed - Opened by NK-Nikunj over 4 years ago - 3 comments
Labels: question

#65 - Error while building Nsimd with AARCH64

Issue - State: closed - Opened by NK-Nikunj over 4 years ago - 2 comments
Labels: bug

#64 - Add documentation for to_pack, to_pack_interleave, get_pack and scoped_aligned_mem

Issue - State: closed - Opened by vianney-st over 4 years ago
Labels: enhancement, already fixed for next release

#63 - Gcc trunk sve

Pull Request - State: closed - Opened by PaulGannay over 4 years ago

#62 - Right shift operation not working

Issue - State: open - Opened by NK-Nikunj over 4 years ago - 2 comments
Labels: enhancement, question

#61 - Fixed point

Pull Request - State: closed - Opened by aarnaud1 over 4 years ago

#60 - Slightly optimized ARM shr and shl

Pull Request - State: closed - Opened by kennypewpew over 4 years ago

#59 - Clz/shrv/shlv/powi

Pull Request - State: closed - Opened by kennypewpew over 4 years ago

#58 - Add is_aligned for both C and C++ APIs

Issue - State: open - Opened by vianney-st over 4 years ago
Labels: enhancement

#57 - Fp ambiguous exp

Pull Request - State: closed - Opened by kennypewpew over 4 years ago

#56 - To pack

Pull Request - State: closed - Opened by vianney-st over 4 years ago

#55 - Gcc trunk sve

Pull Request - State: closed - Opened by PaulGannay over 4 years ago

#54 - Correct shra for avx2, remove warning in fixed point module

Pull Request - State: closed - Opened by PaulGannay over 4 years ago

#53 - Fixed bug in vuXX interface

Pull Request - State: closed - Opened by kennypewpew over 4 years ago

#52 - Power support integration

Pull Request - State: closed - Opened by PaulGannay over 4 years ago

#51 - Fixed point

Pull Request - State: closed - Opened by aarnaud1 over 4 years ago

#49 - Documentation on building/running tests is probably out of date.

Issue - State: closed - Opened by DenisYaroshevskiy over 4 years ago - 3 comments
Labels: bug, already fixed for next release

#48 - Zip unzip

Pull Request - State: closed - Opened by aarnaud1 over 4 years ago

#47 - Support all NSIMD operators for the fixed_point module

Issue - State: open - Opened by aarnaud1 almost 5 years ago
Labels: enhancement

#46 - Fixed point

Pull Request - State: closed - Opened by aarnaud1 almost 5 years ago

#45 - Shift right

Pull Request - State: closed - Opened by aarnaud1 almost 5 years ago - 1 comment

#44 - Zip unzip

Pull Request - State: closed - Opened by aarnaud1 almost 5 years ago

#43 - Zip unzip

Pull Request - State: closed - Opened by aarnaud1 almost 5 years ago

#42 - Doc

Pull Request - State: closed - Opened by gquintin almost 5 years ago

#41 - Add Docker containers to test NSIMD on different architectures

Pull Request - State: open - Opened by eschnett almost 5 years ago

#40 - Strange intrinsic name "round_to_even"

Issue - State: open - Opened by eschnett almost 5 years ago - 2 comments
Labels: question

#39 - Tests should special case rsqrt8

Issue - State: closed - Opened by eschnett almost 5 years ago - 2 comments
Labels: bug

#38 - Why rounding to zero when converting from f32 to f16?

Issue - State: closed - Opened by eschnett almost 5 years ago - 1 comment
Labels: bug

#37 - Speed up code generation

Pull Request - State: closed - Opened by eschnett almost 5 years ago

#36 - Speed up Python code generation

Issue - State: closed - Opened by eschnett almost 5 years ago
Labels: enhancement

#35 - Reinterpret data via memcpy instead of using unions

Pull Request - State: closed - Opened by eschnett almost 5 years ago

#34 - Don't use unions for reinterpreting data

Issue - State: closed - Opened by eschnett almost 5 years ago - 6 comments
Labels: enhancement, already fixed for next release

#33 - Add rec8 and rsqrt8

Pull Request - State: closed - Opened by gquintin almost 5 years ago

#32 - Add "copysign" intrinsic

Issue - State: closed - Opened by eschnett almost 5 years ago - 1 comment
Labels: enhancement

#31 - KNL: Avoid intrinsics that only exist on Skylake.

Pull Request - State: closed - Opened by eschnett almost 5 years ago - 1 comment

#30 - Small corrections

Pull Request - State: closed - Opened by eschnett almost 5 years ago

#29 - When regenerating files, only write those files that changed

Issue - State: closed - Opened by eschnett almost 5 years ago - 4 comments
Labels: enhancement, already fixed for next release

#28 - Proof-of-concept for masked store operations

Pull Request - State: closed - Opened by eschnett almost 5 years ago - 14 comments

#27 - Separate human-maintained and autogenerated code

Issue - State: open - Opened by eschnett almost 5 years ago
Labels: question

#26 - Autodetect CPU architecture

Issue - State: closed - Opened by eschnett almost 5 years ago - 1 comment
Labels: enhancement

#25 - Correct spelling ("kinf" -> "kind")

Pull Request - State: closed - Opened by eschnett almost 5 years ago - 5 comments

#24 - Properly install under include/ dir

Pull Request - State: closed - Opened by ccharly almost 5 years ago

#23 - Masked vector store functions?

Issue - State: closed - Opened by eschnett almost 5 years ago - 3 comments
Labels: enhancement, already fixed for next release

#22 - Installs into "include/include"

Issue - State: closed - Opened by eschnett almost 5 years ago - 3 comments

#21 - Zip unzip

Pull Request - State: closed - Opened by aarnaud1 almost 5 years ago

#20 - Fixed point

Pull Request - State: closed - Opened by aarnaud1 about 5 years ago

#19 - Fix C89 compilation

Pull Request - State: closed - Opened by Lnc about 5 years ago

#18 - Add zip and unzip

Pull Request - State: closed - Opened by JDPailleux about 5 years ago - 1 comment

#17 - Add loads/stores of BFloat16

Issue - State: open - Opened by gquintin about 5 years ago
Labels: enhancement

#16 - Travis fix

Pull Request - State: closed - Opened by ccharly about 5 years ago

#15 - Use local CMAKE variables when finding packages

Pull Request - State: closed - Opened by ccharly about 5 years ago

#14 - Allow conversion between logical and scalars

Issue - State: closed - Opened by PaulGannay about 5 years ago - 1 comment
Labels: enhancement

#13 - Remove a redundant include hierarchy in the install dir

Pull Request - State: closed - Opened by aurianer about 5 years ago

#11 - Add packl ctor taking bool + add overloads of operators involving packl's and bool's

Issue - State: closed - Opened by gquintin about 5 years ago - 1 comment
Labels: enhancement, already fixed for next release

#10 - Have a real documentation

Issue - State: closed - Opened by gquintin about 5 years ago - 1 comment
Labels: enhancement

#9 - Use `option` function in CMake

Issue - State: closed - Opened by ccharly about 5 years ago
Labels: enhancement, already fixed for next release

#5 - Feature request : Populate library with c++ STL like algorithm

Issue - State: open - Opened by ThomasRetornaz about 5 years ago - 15 comments
Labels: enhancement

#4 - Feature request : Add satured Add and Sub

Issue - State: closed - Opened by ThomasRetornaz about 5 years ago
Labels: enhancement