Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / Xilinx/linux-xlnx issues and pull requests

#148 - Update README1

Pull Request - State: closed - Opened by ghost about 1 month ago - 3 comments

#147 - videobuf2: added dma fd offset in videobuf2-dma-contig.c

Pull Request - State: closed - Opened by karanamd123 8 months ago - 3 comments

#146 - Create xing

Pull Request - State: closed - Opened by xing014 about 1 year ago - 2 comments

#145 - Resolve w25q256 "failed to read ear reg" error

Pull Request - State: closed - Opened by flyucc over 1 year ago - 2 comments

#144 - after arm64 compile

Pull Request - State: closed - Opened by wokdevn over 1 year ago - 2 comments

#143 - Fix the zynqmp qspi driver call to get the spi controller devdata

Pull Request - State: closed - Opened by gvb about 3 years ago - 1 comment

#142 - usb: dwc3: xilinx: Register drvdata before registering regulator

Pull Request - State: closed - Opened by ricardosalveti over 3 years ago - 3 comments

#141 - Feature/backport 5.8

Pull Request - State: closed - Opened by jnkbta over 4 years ago

#140 - Update axidmatest.c

Pull Request - State: closed - Opened by skantonovich over 4 years ago - 8 comments

#139 - usb: dwc3: fix a build error when CONFIG_PM is not set.

Pull Request - State: closed - Opened by wdzxfe almost 5 years ago - 2 comments

#138 - drm-Add-shmem-GEM-library

Pull Request - State: closed - Opened by squiggy-007 almost 5 years ago - 2 comments

#137 - MEDIA_BUS_FMT_VYYUYY8_1X24 0x202c error

Pull Request - State: closed - Opened by liuhubing about 5 years ago - 1 comment

#136 - Fix error checking conditional statement: axi gpio driver

Pull Request - State: closed - Opened by hcook95 over 5 years ago - 1 comment

#135 - add fractional multiplier usage

Pull Request - State: closed - Opened by pthomas over 5 years ago - 4 comments

#134 - Fix null pointer returning OK

Pull Request - State: closed - Opened by FredKellerman over 5 years ago - 1 comment

#133 - mtd: spi-nor: Fix writing at the end of stacked

Pull Request - State: closed - Opened by mtango over 5 years ago - 1 comment

#132 - Fix Zynq SMP CPU start when kernel is built in Thumb2 mode

Pull Request - State: closed - Opened by patstew over 5 years ago - 1 comment

#131 - Backport commits for OV5640 support

Pull Request - State: closed - Opened by sbobrowicz almost 6 years ago - 1 comment

#130 - Merge pull request #1 from Xilinx/master

Pull Request - State: closed - Opened by beanhuo about 6 years ago - 3 comments

#129 - modify zynqmp gem(cadence macb) driver to support fixed-link

Pull Request - State: closed - Opened by dpirly about 6 years ago - 1 comment

#128 - ARM: zynq: Fix spurious BUG with old DT

Pull Request - State: closed - Opened by agraf about 6 years ago - 1 comment

#127 - 2017.1 video ea

Pull Request - State: closed - Opened by kranthig5 over 6 years ago - 1 comment

#126 - Xlnx rebase v4.9

Pull Request - State: closed - Opened by ivanjaraiz over 6 years ago - 1 comment

#125 - 2017.2 video ea

Pull Request - State: closed - Opened by sanjay-ks over 6 years ago - 1 comment

#124 - 2017.2 video ea

Pull Request - State: closed - Opened by sanjay-ks over 6 years ago

#123 - Turn dev_dbg into dev_err for error cases in the SDIRX driver.

Pull Request - State: closed - Opened by JHartman5 almost 7 years ago - 1 comment

#122 - Add 6G ST435-1 standard for 4Kp30 and friends.

Pull Request - State: closed - Opened by JHartman5 almost 7 years ago - 1 comment

#121 - xilinx_dma: callback_invoke with result.

Pull Request - State: closed - Opened by lorinmetzger almost 7 years ago - 2 comments

#120 - Fix validation of required device tree parameter for USB ulpi driver

Pull Request - State: closed - Opened by azaparov almost 7 years ago - 1 comment

#119 - net: ethernet: xilinx: Apply DTS 'phy-mode' to phydev->interface

Pull Request - State: closed - Opened by killdaclick almost 7 years ago - 2 comments

#118 - Fix race condition handling in macb_ptp_read()

Pull Request - State: closed - Opened by joeynelson almost 7 years ago

#117 - net: ethernet: xilinx: Fix tx after reopening driver

Pull Request - State: closed - Opened by jercsch almost 7 years ago - 3 comments

#116 - Fix power managment in spi-xilinx.c

Pull Request - State: closed - Opened by adrianf0 about 7 years ago - 4 comments

#115 - Nand pl35x

Pull Request - State: closed - Opened by jogness about 7 years ago - 1 comment

#114 - Spi nor

Pull Request - State: closed - Opened by jogness about 7 years ago - 1 comment

#113 - dma: xilinx: Fix validation of channel descriptors

Pull Request - State: closed - Opened by draric about 7 years ago - 2 comments

#112 - xilinx_dma: Added coherent DMA support

Pull Request - State: closed - Opened by rjmccabe3701 over 7 years ago - 1 comment

#111 - xilinx spi driver timeout issue fix

Pull Request - State: closed - Opened by jnaulet over 7 years ago - 2 comments

#110 - iio XADC issue

Pull Request - State: closed - Opened by vonzep over 7 years ago - 1 comment

#109 - mtd: spi-nor: reset QSPI page on to 0 on reboot/shutdown

Pull Request - State: closed - Opened by adibacco over 7 years ago - 1 comment

#108 - Fix zynqmp pll disable (clkc)

Pull Request - State: closed - Opened by savagesmc over 7 years ago - 1 comment

#107 - Frmbuf cleanup

Pull Request - State: closed - Opened by radheyxilinx over 7 years ago

#106 - pl35x_nand: Add dsb(st) (store-only data sync barrier) after reg writes

Pull Request - State: closed - Opened by harisokanovic almost 8 years ago - 1 comment

#105 - spi-xilinx.c: correct multibyte writes

Pull Request - State: closed - Opened by ghost almost 8 years ago - 1 comment

#104 - Fix bug of function 'drm_encoder_init' call

Pull Request - State: closed - Opened by jeasinema about 8 years ago - 1 comment

#103 - ARM: zynq: Fix secondary CPU startup / suspend in Thumb2 kernel

Pull Request - State: closed - Opened by mndza over 8 years ago

#102 - dma: xilinx: use readl_poll_timeout_atomic instead of readl_poll_timeout

Pull Request - State: closed - Opened by mferland over 8 years ago - 2 comments

#101 - spi-nor: correctly read/write Extended Address Register on Winbond flash

Pull Request - State: closed - Opened by ghost over 8 years ago - 2 comments

#98 - i2c-cadence.c: Fix power management order of operations

Pull Request - State: closed - Opened by topikuu over 8 years ago

#97 - gpio-xilinx.c: Fix irq-handler prototype

Pull Request - State: closed - Opened by topikuu over 8 years ago

#94 - char: xdevcfg: remove unneeded PCFG_PROG_B assertion

Pull Request - State: closed - Opened by martijndegouw over 8 years ago - 1 comment

#93 - iio: xadc: use correct channel from 'xlnx,channels' properties

Pull Request - State: closed - Opened by HrRossi over 8 years ago - 2 comments

#92 - RFT: xilinx_dma: fixes and improvement collection

Pull Request - State: closed - Opened by andreamerello over 8 years ago - 4 comments

#91 - Xlnx 3.17

Pull Request - State: closed - Opened by drang100 over 8 years ago

#90 - [media] xilinx-tpg: don't read version if HLS IP

Pull Request - State: closed - Opened by fjullien over 8 years ago - 1 comment

#88 - dma: xilinx: Fix support for cyclic mode dma

Pull Request - State: closed - Opened by na1pir over 8 years ago - 2 comments

#87 - Fix interrupt flags for Zynq in gpio_keys.c

Pull Request - State: closed - Opened by findus4fun over 8 years ago - 5 comments

#85 - Added Microblaze FSL fifo driver in kernel 4.4

Pull Request - State: closed - Opened by jnaulet over 8 years ago - 1 comment

#84 - do not ack level irqs in enable_or_unmask

Pull Request - State: closed - Opened by jameyhicks over 8 years ago - 1 comment

#83 - Committer: schunke <[email protected]>

Pull Request - State: closed - Opened by findus4fun over 8 years ago - 1 comment

#81 - Br ubi powerloss

Pull Request - State: closed - Opened by beanhuo over 8 years ago - 1 comment

#80 - Fix workaround for handle multiple memory regions

Pull Request - State: closed - Opened by svenschwermer over 8 years ago - 1 comment

#79 - dmaengine: xilinx_dma: autodetect whether the HW supports scatter-gather

Pull Request - State: closed - Opened by andreamerello over 8 years ago - 1 comment

#78 - Sorry wrong request.

Pull Request - State: closed - Opened by alxn over 8 years ago

#76 - AXI DMA Driver bugfixes/improvements

Pull Request - State: closed - Opened by jeremytrimble almost 9 years ago - 15 comments

#73 - Fix video format cannot be set in VDMA engine(xilinx-v2014.4)

Pull Request - State: closed - Opened by jiangjiali66 almost 9 years ago - 1 comment

#72 - Fix video format cannot be set in VDMA engine(xilinx-v2014.4)

Pull Request - State: closed - Opened by jiangjiali66 almost 9 years ago - 1 comment

#70 - Fix CAN0 off chip transceiver enable issue for ZC702 board.

Pull Request - State: closed - Opened by aarshad almost 9 years ago - 3 comments

#69 - Preempt rt

Pull Request - State: closed - Opened by claudioscordino almost 9 years ago - 2 comments

#65 - fix:Null pointer errors with RBG24 format

Pull Request - State: closed - Opened by jiangjiali66 almost 9 years ago - 1 comment

#64 - dma: last actions in xilinx_dma_chan_remove put under check for irq > 0

Pull Request - State: closed - Opened by jcbless about 9 years ago - 3 comments

#55 - AXI DMA: Bugfix: callback is invoked too early.

Pull Request - State: closed - Opened by jeremytrimble over 9 years ago - 5 comments

#47 - sorry, wrong request

Pull Request - State: closed - Opened by morely over 9 years ago - 1 comment

#46 - axidma: xilinx: Fix constant compilation error

Pull Request - State: closed - Opened by javigon almost 10 years ago - 3 comments

#36 - rpmsg_proto: Remove inclusion of rwmutex.h

Pull Request - State: closed - Opened by bmouring about 10 years ago - 2 comments

#34 - xilinx-xadc-core: Set proper address value for VAUX channels

Pull Request - State: closed - Opened by condo4 about 10 years ago - 3 comments

#27 - Update spi-zynq-qspi.c

Pull Request - State: closed - Opened by jsmccoy over 10 years ago

#26 - Master next

Pull Request - State: closed - Opened by MLDMAAI over 10 years ago

#25 - xilinx_axienet: Enable 1000BaseX Phys with axi driver

Pull Request - State: closed - Opened by Will-W over 10 years ago - 3 comments

#21 - Update i2c-zynq.c

Pull Request - State: closed - Opened by oska874 over 10 years ago - 1 comment

#18 - Added kernel configuration and device trees for Trenz Electronic TE0720 board

Pull Request - State: closed - Opened by kienko almost 11 years ago - 9 comments

#15 - DTS Zynq: fix zedboard phy address

Pull Request - State: closed - Opened by kgugala almost 11 years ago