Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / SiLab-Bonn/basil issues and pull requests

#229 - Bugfixes for automatic port identification

Pull Request - State: closed - Opened by matthias-schuessler about 2 months ago - 1 comment

#228 - ADD: added get_source_volatge command

Pull Request - State: open - Opened by mjmucha 3 months ago - 1 comment

#227 - Fixing motorstage

Pull Request - State: closed - Opened by SilasM2001 4 months ago

#225 - Fix deprecation errors/warnings

Pull Request - State: open - Opened by cbespin 5 months ago

#224 - SiTCP 10G implementation

Issue - State: open - Opened by cbespin 5 months ago
Labels: question

#223 - Fix firmware compilation error

Pull Request - State: open - Opened by cbespin 5 months ago

#222 - PyPI Maintanence

Issue - State: closed - Opened by YannickDieter 5 months ago - 1 comment

#221 - Support for existing firmware projections and new simulation API

Pull Request - State: closed - Opened by cbespin 5 months ago - 2 comments

#220 - Flowmeter

Pull Request - State: open - Opened by YannickDieter 5 months ago - 8 comments

#219 - Firmware compilation error with always_latch

Issue - State: open - Opened by cbespin 5 months ago - 2 comments

#218 - ENH: get_die now outputs the unmodified die position from the prober api

Pull Request - State: closed - Opened by mjmucha 5 months ago - 1 comment

#217 - ENH: modified get_die() for ITkPix wafermap compatibility

Pull Request - State: closed - Opened by mjmucha 5 months ago - 3 comments

#216 - Missing keithley 2410 formatting for SCPI queries

Issue - State: closed - Opened by Giakou 6 months ago - 1 comment

#214 - Keithley 2634b

Pull Request - State: closed - Opened by matthias-schuessler 6 months ago - 4 comments

#213 - Improvements for mercury driver

Pull Request - State: closed - Opened by SilasM2001 6 months ago - 5 comments

#212 - Update keithley_2400.yaml

Pull Request - State: closed - Opened by konstantinmauer 8 months ago

#211 - ADD: Arduino environment/analog readout hardware layer

Pull Request - State: closed - Opened by mjmucha 9 months ago - 2 comments

#210 - ADD: Automatic finding of USB binds based on instrument IDN

Pull Request - State: closed - Opened by mjmucha 9 months ago - 2 comments

#209 - serial and visa baud rate init parameter compatibility

Pull Request - State: closed - Opened by mjmucha 9 months ago - 2 comments

#208 - Update workflows

Pull Request - State: closed - Opened by YannickDieter 9 months ago - 1 comment

#207 - ENH: Add __scpi_query_fmt to Keithley 2470

Pull Request - State: closed - Opened by konstantinmauer 10 months ago

#206 - ENH: Adds support for keithley 2470

Pull Request - State: closed - Opened by konstantinmauer 10 months ago
Labels: enhancement

#205 - Create branch for backward compatibility of the MIO1.04 board

Pull Request - State: open - Opened by dschuechter 10 months ago - 1 comment

#203 - Keithley 6517A fixes

Pull Request - State: closed - Opened by leloup314 over 1 year ago - 1 comment

#202 - Improve exception handling for dynamic method generation

Pull Request - State: closed - Opened by leloup314 over 1 year ago - 4 comments

#201 - Keithley 6517A improvements

Pull Request - State: closed - Opened by leloup314 over 1 year ago - 1 comment

#200 - FIX: fix codecov, #199

Pull Request - State: closed - Opened by leloup314 over 1 year ago - 1 comment

#199 - Failing tests due to codecov removed from PyPi

Issue - State: closed - Opened by leloup314 over 1 year ago
Labels: bug

#198 - ENH: Added lots of commands to agilent_33250a.yaml

Pull Request - State: closed - Opened by dschuechter over 1 year ago - 4 comments

#197 - Flowmeter

Pull Request - State: closed - Opened by AntonioT7 over 1 year ago - 5 comments

#196 - Rs hmp4040 for bdaq

Pull Request - State: closed - Opened by matthias-schuessler over 1 year ago - 1 comment

#195 - ADD: SentioProber driver for use in Hong Kong

Pull Request - State: closed - Opened by Marrkson over 1 year ago - 2 comments

#194 - PRJ: Added support for Tektronix TDS3034B

Pull Request - State: closed - Opened by dschuechter over 1 year ago - 4 comments

#193 - agilent_33250a.yaml addded missing commands

Pull Request - State: closed - Opened by dschuechter over 1 year ago - 4 comments

#192 - ENH: change default value according to new NanoUtilBoard PCB

Pull Request - State: closed - Opened by leloup314 over 1 year ago
Labels: enhancement

#191 - Agilent33250a.py fix

Pull Request - State: closed - Opened by dschuechter over 1 year ago - 4 comments

#190 - Add cross point switch HL for EOS and QMS card

Pull Request - State: closed - Opened by matthias-schuessler over 1 year ago - 5 comments

#189 - new julabo fp50

Pull Request - State: closed - Opened by AntonioT7 almost 2 years ago - 3 comments

#188 - Pyserial module not listed in the requirements

Issue - State: open - Opened by Giakou almost 2 years ago - 3 comments

#187 - ISEG HV Power Supplies

Pull Request - State: closed - Opened by leloup314 almost 2 years ago - 1 comment

#186 - FIX: X-ray Debyeflex 3003 driver

Pull Request - State: closed - Opened by MarcoVogt about 2 years ago - 1 comment
Labels: bug

#185 - Mismatched function name for X-ray machine

Issue - State: closed - Opened by SinuoZhang about 2 years ago - 1 comment

#184 - ENH: allow to set voltage divider configuration for new NanoUtilBoard

Pull Request - State: closed - Opened by leloup314 about 2 years ago - 1 comment

#183 - Fix flake8

Pull Request - State: closed - Opened by leloup314 about 2 years ago - 1 comment

#182 - Binder mk56

Pull Request - State: closed - Opened by Marrkson about 2 years ago - 2 comments

#181 - Tektronix simplify

Pull Request - State: closed - Opened by Marrkson about 2 years ago - 2 comments

#180 - ENH: better API for NTCReadout allowing to get/set all needed quantit…

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 2 comments

#179 - Arduino Utils

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 4 comments
Labels: enhancement

#178 - Keithley 6517a electrometer

Pull Request - State: closed - Opened by SinuoZhang over 2 years ago - 6 comments
Labels: enhancement

#177 - Add PSU Rohde & Schwarz HMP4040 PS

Pull Request - State: closed - Opened by s6thseng over 2 years ago - 5 comments

#176 - Improved LCR-meter interface

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 2 comments

#175 - Q: Initialization procedure

Issue - State: open - Opened by leloup314 over 2 years ago - 10 comments

#174 - ADD: lab devices example for Keithley 2410 with formatting

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 4 comments

#173 - Add option to pass build arguments to verilator

Pull Request - State: closed - Opened by cbespin over 2 years ago - 1 comment

#172 - ADD: FIFO_8_64 & FIFO_64_16

Pull Request - State: open - Opened by Marrkson over 2 years ago - 1 comment

#171 - FIX: remove NTC temperature logging

Pull Request - State: closed - Opened by lschall over 2 years ago - 2 comments

#170 - Unnecessary NTC Register temperature logging

Issue - State: closed - Opened by cbespin over 2 years ago

#169 - Add HP 4284A LCR meter to basil

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 3 comments

#168 - Allow optional, device-specific formatting of SCPI query return strings

Pull Request - State: closed - Opened by leloup314 over 2 years ago - 15 comments

#167 - Support for cocotb-bus 0.2.0

Pull Request - State: closed - Opened by cbespin over 2 years ago - 3 comments

#166 - Q: Possibility to add formatter to device description yaml

Issue - State: closed - Opened by leloup314 over 2 years ago - 4 comments

#165 - UPD: SignatoneProber driver

Pull Request - State: closed - Opened by Marrkson almost 3 years ago - 1 comment

#164 - Simulation bus driver issue with cocotb-bus 0.2.0

Issue - State: open - Opened by cbespin almost 3 years ago - 1 comment

#163 - Update simulation framework

Pull Request - State: closed - Opened by themperek over 3 years ago - 1 comment

#162 - Update and improve seq_rec and seq_gen modules

Pull Request - State: closed - Opened by themperek over 3 years ago - 1 comment

#161 - Update JTAG Master

Pull Request - State: closed - Opened by themperek over 3 years ago - 1 comment

#160 - Update Sphinx api

Pull Request - State: closed - Opened by themperek over 3 years ago

#159 - Fix tests for bitarray changes in exemptions

Pull Request - State: closed - Opened by themperek over 3 years ago

#158 - Signatone support

Pull Request - State: closed - Opened by Marrkson over 3 years ago - 3 comments

#157 - Better enable Verilator's delayed assignment warning

Pull Request - State: closed - Opened by flooklab over 3 years ago - 1 comment

#156 - Use NBA for clock divider

Pull Request - State: closed - Opened by flooklab over 3 years ago - 1 comment

#155 - Fix deprecation warnings

Pull Request - State: closed - Opened by themperek almost 4 years ago - 2 comments

#154 - Add verilator to CI + use pytest

Pull Request - State: closed - Opened by themperek almost 4 years ago - 1 comment

#153 - Use pytest markers for CI to select only sbus-based tests to run with Verilator

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 3 comments

#152 - Add markers for sbus examples

Issue - State: closed - Opened by themperek almost 4 years ago - 3 comments

#151 - Add more split bus tests

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 2 comments

#150 - Improve Verilator compatibility 3

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 1 comment

#149 - Improve Verilator compatibility 2

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 1 comment

#148 - Improve Verilator compatibility 1

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 1 comment

#147 - Fix bug and compability issues of SHT85 driver

Pull Request - State: closed - Opened by konstantinmauer almost 4 years ago - 1 comment

#146 - New split bus interface 2 / Verilator simulation support

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 8 comments

#145 - New split bus interface

Pull Request - State: closed - Opened by themperek almost 4 years ago - 1 comment

#144 - add timestamp640, pulse_gen640

Pull Request - State: open - Opened by thirono almost 4 years ago - 5 comments

#143 - Cleanup timestamp modules

Pull Request - State: closed - Opened by thirono almost 4 years ago - 1 comment

#142 - Cleanup timestamp modules

Pull Request - State: closed - Opened by thirono almost 4 years ago

#141 - GHA integration

Pull Request - State: closed - Opened by themperek almost 4 years ago - 6 comments

#140 - Release 3.1.0

Pull Request - State: closed - Opened by themperek almost 4 years ago

#139 - Force PyVisa-sim to version 0.3 (fix ci for 2.7)

Pull Request - State: closed - Opened by themperek almost 4 years ago - 3 comments

#138 - New bus interface

Pull Request - State: closed - Opened by flooklab almost 4 years ago - 2 comments

#137 - ENH: Add get_output function to old keithly SMUs

Pull Request - State: closed - Opened by michaeldaas almost 4 years ago - 1 comment
Labels: enhancement

#136 - Driver for the sensirion sht85 sensor

Pull Request - State: closed - Opened by konstantinmauer almost 4 years ago - 5 comments
Labels: enhancement

#135 - Enhance temperature control and measurement

Pull Request - State: closed - Opened by michaeldaas almost 4 years ago - 10 comments
Labels: bug, enhancement

#134 - Integrate Weiss LabEvent

Pull Request - State: closed - Opened by michaeldaas almost 4 years ago - 2 comments
Labels: bug, enhancement

#133 - Cleanup timestamp modules

Pull Request - State: closed - Opened by thirono over 4 years ago - 1 comment

#132 - BUG: Fix memory address not increasing when sending multiple words

Pull Request - State: closed - Opened by VincentGuerard over 4 years ago - 3 comments

#131 - Fix memory leak in SiTCP

Pull Request - State: closed - Opened by DavidLP over 4 years ago - 1 comment
Labels: bug

#130 - BUG: Fix the reset function of the module.

Pull Request - State: closed - Opened by VincentGuerard over 4 years ago - 2 comments

#129 - BUG: Problems occurs when performing a reset with a slower JTAG clock

Pull Request - State: closed - Opened by VincentGuerard over 4 years ago - 1 comment