Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / MagicStack/uvloop issues and pull requests

#531 - Fails to build on 3.12

Issue - State: closed - Opened by wRAR over 1 year ago - 1 comment

#530 - module search path broken for test_libuv_api.py

Issue - State: open - Opened by paulwouters over 1 year ago

#529 - test_write_pipe failures on PPC64le

Issue - State: open - Opened by paulwouters over 1 year ago

#527 - @Spetcial_bot

Issue - State: open - Opened by muxa900 over 1 year ago

#525 - Kristjan/get ready queue

Pull Request - State: open - Opened by kristjanvalur over 1 year ago

#524 - error run_in_executor

Issue - State: closed - Opened by geometry88 over 1 year ago

#523 - fix github workflow badge

Pull Request - State: closed - Opened by EFord36 over 1 year ago - 3 comments

#522 - Fix ci status badge error

Pull Request - State: closed - Opened by shuuji3 over 1 year ago - 1 comment

#521 - uvloop pypy3.9 error on import

Issue - State: open - Opened by owenwastaken almost 2 years ago - 1 comment

#520 - Fixup CI

Pull Request - State: closed - Opened by altendky almost 2 years ago - 16 comments

#519 - Update CI tests to use released 3.11

Pull Request - State: closed - Opened by altendky almost 2 years ago - 2 comments

#518 - uvloop pypy3.9 installing problem

Issue - State: open - Opened by federikowsky almost 2 years ago - 1 comment

#516 - Test pytest runtime

Pull Request - State: closed - Opened by cphoward almost 2 years ago

#515 - chore(deps): Bump flake8 and pycodestyle

Pull Request - State: closed - Opened by cphoward almost 2 years ago - 1 comment

#514 - unable to install uvloop

Issue - State: open - Opened by Sh4peshifting almost 2 years ago - 3 comments

#513 - Tests trying to find unused ports are flakey when automated

Issue - State: open - Opened by viraptor almost 2 years ago - 1 comment

#512 - chore(deps): Bump flake8 and pycodestyle

Pull Request - State: closed - Opened by cphoward almost 2 years ago - 4 comments

#511 - feat(uvloop): Support event loop utilization queries

Pull Request - State: closed - Opened by caseydialpad almost 2 years ago - 1 comment

#510 - why run_coroutine_threadsafe so slow?

Issue - State: open - Opened by qiuyang163 almost 2 years ago

#509 - feat(idle_loop): Configure and monitor idle loop

Pull Request - State: closed - Opened by cphoward almost 2 years ago

#507 - Close transport after sending close_notify in TLSv1.2

Pull Request - State: open - Opened by fantix almost 2 years ago - 1 comment

#504 - Python 3.11.0-rc.2

Pull Request - State: closed - Opened by cclauss about 2 years ago

#503 - Sync SSL shutdown behavior with CPy3.11 asyncio

Pull Request - State: closed - Opened by Rongronggg9 about 2 years ago - 2 comments

#502 - Fix typo discovered by codespell

Pull Request - State: closed - Opened by cclauss about 2 years ago

#501 - uvloop v0.17.0

Pull Request - State: closed - Opened by fantix about 2 years ago

#500 - Bump to libuv 1.43.0

Pull Request - State: closed - Opened by fantix about 2 years ago

#499 - Bump libuv to 1.44.2

Pull Request - State: closed - Opened by fantix about 2 years ago - 2 comments

#498 - bugfix: write to another transport in resume_writing() fails

Pull Request - State: closed - Opened by fantix about 2 years ago

#497 - Longer test timeout

Pull Request - State: closed - Opened by fantix about 2 years ago

#496 - RuntimeError: set changed size during iteration

Issue - State: closed - Opened by Teyras about 2 years ago - 2 comments
Labels: bug

#495 - Fix loop.getaddrinfo() and tests

Pull Request - State: closed - Opened by fantix about 2 years ago - 1 comment

#494 - Inconsistent static getaddrinfo() behavior on AI_CANONNAME

Issue - State: closed - Opened by fantix about 2 years ago

#493 - Drop Python 3.6 support for thread ident

Pull Request - State: closed - Opened by fantix about 2 years ago

#492 - Drop hack in setup.py in finalize_options

Pull Request - State: closed - Opened by fantix about 2 years ago

#491 - Expose uv_version() for libuv API compatibility

Pull Request - State: closed - Opened by fantix about 2 years ago - 3 comments

#490 - Debug datatype bug: Tracked down to two potential triggers

Issue - State: closed - Opened by ChristianCoenen about 2 years ago - 2 comments

#489 - Fix tests invocation on release CI worklow

Pull Request - State: closed - Opened by ben9923 about 2 years ago - 4 comments

#488 - Test and build on Python 3.11

Pull Request - State: closed - Opened by elprans about 2 years ago - 1 comment

#487 - Fix typo in README

Pull Request - State: closed - Opened by monosans about 2 years ago

#486 - Activate debug mode when `-X dev` is used

Pull Request - State: closed - Opened by Jackenmen about 2 years ago - 2 comments

#485 - `python -X dev` doesn't activate debug mode for uvloop loop

Issue - State: closed - Opened by Jackenmen about 2 years ago

#484 - enable the cython binding=True directive

Pull Request - State: open - Opened by graingert about 2 years ago - 2 comments

#483 - Error: pipenv install uvloop==0.16

Issue - State: closed - Opened by anorprogrammer about 2 years ago - 1 comment

#481 - use a stack of self._fds_to_close to prevent double closes

Pull Request - State: closed - Opened by graingert about 2 years ago - 2 comments

#479 - Add test for preexec_fn fd double close issue

Pull Request - State: closed - Opened by fantix about 2 years ago - 4 comments

#476 - `pip install uvloop` error on LoongArch

Issue - State: closed - Opened by loongson-zn about 2 years ago - 7 comments

#474 - expose libuv uv_fs_event functionality

Pull Request - State: closed - Opened by jensbjorgensen over 2 years ago - 11 comments

#473 - fix not support python 3.11+

Pull Request - State: closed - Opened by zeroday0619 over 2 years ago - 14 comments

#471 - `_SSLProtocolTransport.close()` cannot close the connection until timeout or EOF

Issue - State: open - Opened by Rongronggg9 over 2 years ago - 28 comments
Labels: enhancement

#468 - TimerHandle.when() segfaults if called after callback

Issue - State: closed - Opened by j0x539 over 2 years ago - 2 comments

#465 - Can't install 0.16.0 on Python3.11

Issue - State: closed - Opened by dimaqq over 2 years ago - 2 comments

#462 - No module named 'uvloop.loop'

Issue - State: open - Opened by tufbel over 2 years ago - 3 comments

#460 - Question: does uvloop set stdout to non-blocking?

Issue - State: open - Opened by Tinche over 2 years ago - 1 comment

#457 - Empty output when calling Snap command

Issue - State: open - Opened by GlassOfWhiskey almost 3 years ago - 2 comments

#454 - create_subprocess_exec should treat env={} as empty environment (#439)

Pull Request - State: closed - Opened by byllyfish almost 3 years ago - 3 comments

#453 - fix incorrect main thread id value in mp.Process

Pull Request - State: closed - Opened by horpto almost 3 years ago - 1 comment

#452 - incorrect main thread definition in subprocess

Issue - State: closed - Opened by horpto almost 3 years ago

#451 - uvloop not supported in AWS Lambda Arm architecture

Issue - State: open - Opened by hareshkat almost 3 years ago - 2 comments

#447 - Version bump of cibuildwheel

Pull Request - State: closed - Opened by WAKayser almost 3 years ago - 3 comments

#446 - Fix infinite loop bug

Pull Request - State: closed - Opened by kfur almost 3 years ago - 4 comments

#445 - Queue write only after processing all buffers

Pull Request - State: closed - Opened by jakirkham almost 3 years ago - 9 comments

#443 - setup.py: allow to override extra_compile_args

Pull Request - State: closed - Opened by giuliobenetti about 3 years ago - 1 comment

#441 - typo `same as same`

Pull Request - State: closed - Opened by YoSTEALTH about 3 years ago

#438 - Program terminated with signal SIGABRT

Issue - State: open - Opened by sc07kvm about 3 years ago - 2 comments

#437 - "Future exception was never retrieved" in Loop.create_connection

Issue - State: open - Opened by sc07kvm about 3 years ago - 3 comments

#436 - uvloop v0.16.0

Pull Request - State: closed - Opened by elprans about 3 years ago

#435 - Use cibuildwheel to build wheels

Pull Request - State: closed - Opened by elprans about 3 years ago - 1 comment

#430 - 0.15.3: setuptools `build_sphinx` command fails

Issue - State: closed - Opened by kloczek about 3 years ago - 1 comment

#428 - add support for <timer handle>.when()

Pull Request - State: closed - Opened by jensbjorgensen about 3 years ago - 2 comments

#425 - uvloop blocks app and not executes aiohttp server

Issue - State: closed - Opened by semakmillev about 3 years ago - 5 comments

#414 - Pathlib throws "Bad file descriptor", but only with `uvloop`.

Issue - State: closed - Opened by decorator-factory over 3 years ago - 9 comments

#405 - Support nest-asyncio

Issue - State: open - Opened by davidbrochart over 3 years ago - 9 comments

#404 - Using vectorized IO (scatter/gather)

Issue - State: open - Opened by jakirkham over 3 years ago - 3 comments

#403 - Failed to create_datagram_endpoint() with AF_UNIX family

Issue - State: open - Opened by ankogan over 3 years ago - 1 comment
Labels: missing feature

#384 - Exception [Errno 11] write could not complete without blocking

Issue - State: closed - Opened by ale-dd over 3 years ago - 1 comment

#382 - Cannot install uvloop with Docker and standard python:3.9-buster image

Issue - State: closed - Opened by olehkorkh-planeks over 3 years ago - 4 comments

#380 - Import error with pypy37

Issue - State: open - Opened by nehaljwani over 3 years ago - 9 comments

#359 - Uvloop internal clock is not microsecond accurate

Issue - State: open - Opened by agronholm about 4 years ago - 5 comments
Labels: libuv

#356 - python3.10 build issues due to deprocations in py3.10

Issue - State: closed - Opened by L1ghtn1ng about 4 years ago - 5 comments

#352 - Any chance to get available on Windows?

Issue - State: closed - Opened by spyderminer about 4 years ago - 3 comments

#351 - Issue with graceful shutdown

Issue - State: closed - Opened by healfy over 4 years ago - 1 comment
Labels: need more info

#340 - Build error, open CFI at the end of file; missing .cfi_endproc directive

Issue - State: closed - Opened by Nalorokk over 4 years ago - 3 comments

#338 - Silently closes UDP socket

Issue - State: open - Opened by spumer over 4 years ago - 3 comments

#320 - building wheel from sdist fails

Issue - State: closed - Opened by televi over 4 years ago - 6 comments

#317 - "Assertion `loop->watchers[w->fd] == w' failed." with multiprocessing and OS pipes

Issue - State: closed - Opened by momocow over 4 years ago - 16 comments
Labels: bug

#310 - Expose uv_loop_t pointer for integration with other C-extensions

Pull Request - State: closed - Opened by pranavtbhat over 4 years ago - 9 comments

#307 - Get uv_loop_t pointer

Issue - State: closed - Opened by ghost over 4 years ago - 7 comments
Labels: enhancement

#262 - Repeating calls to connect_write_pipe with sys.stdout as a stream lead to FileExistsError exception

Issue - State: open - Opened by hh-h about 5 years ago - 8 comments
Labels: help wanted, need more info

#260 - cannot install in arch64 use Termux

Issue - State: closed - Opened by Alnyz about 5 years ago - 11 comments

#244 - Fatal error on transport TCPTransport (error status in uv_stream_t.shutdown callback)

Issue - State: closed - Opened by lgrahl over 5 years ago - 7 comments
Labels: need more info