Ecosyste.ms: Issues

An open API service for providing issue and pull request metadata for open source projects.

GitHub / FPGAwars/apio issues and pull requests

#285 - iCE40-LP1K-CB121 support

Issue - State: open - Opened by angelsix over 2 years ago - 1 comment
Labels: board request

#284 - Added upduino v3.1

Pull Request - State: closed - Opened by vr2045 over 2 years ago

#283 - Update to README.md for Alchitry Cu

Pull Request - State: closed - Opened by agoodney over 2 years ago

#260 - Readme: Update OrangeCrab/ButterStick

Pull Request - State: closed - Opened by gregdavill over 2 years ago

#259 - add butterstick support (and fix small orangecrab typo)

Pull Request - State: closed - Opened by agoodney over 2 years ago - 1 comment

#258 - Synthesis of bitstream for ECP5 12K finally solved

Pull Request - State: closed - Opened by benitoss over 2 years ago

#257 - Synthesis for ECP5 12K solved. Added force parameter in nextpnr-ecp5

Pull Request - State: closed - Opened by benitoss over 2 years ago - 2 comments

#256 - Update README.md

Pull Request - State: closed - Opened by benitoss over 2 years ago

#255 - Missing iCESugar nano board due to someone commit.

Issue - State: closed - Opened by TiNredmc over 2 years ago - 3 comments

#254 - Unable to verify `icestick\leds` on Windows 10, seems to be an issue with SCONS

Issue - State: closed - Opened by Nejat over 2 years ago - 4 comments

#253 - Unable to run apio verify or sim on develop branch

Issue - State: closed - Opened by seanybaggins over 2 years ago - 3 comments

#252 - Making distinction between a v0 and v1 board

Pull Request - State: closed - Opened by seanybaggins over 2 years ago

#251 - Version bump

Pull Request - State: closed - Opened by seanybaggins over 2 years ago - 1 comment

#250 - ECP-5 Evaluation Board Added

Pull Request - State: closed - Opened by benitoss almost 3 years ago

#249 - Error when apio build on macOS

Issue - State: closed - Opened by xralphack almost 3 years ago - 7 comments

#248 - TinyFPGA A-Series support

Issue - State: open - Opened by aelray almost 3 years ago
Labels: board request

#247 - Fixed call to non-existant function

Pull Request - State: closed - Opened by seanybaggins almost 3 years ago

#246 - Call to undefined function

Issue - State: closed - Opened by seanybaggins almost 3 years ago

#245 - Error code 404 when trying to install ice40 package

Issue - State: closed - Opened by jevonlongdell almost 3 years ago - 4 comments

#244 - Update iCEBreaker-bitsy board support

Pull Request - State: closed - Opened by suzuki-naoto almost 3 years ago

#243 - Unable to upload to board - 'PosixPath' object is not iterable

Issue - State: closed - Opened by AlienTux almost 3 years ago - 1 comment

#242 - Error at apio build on Windows10

Issue - State: closed - Opened by hpsteidle almost 3 years ago - 3 comments

#241 - SConstruct file does not find package binaries correctly on Windows

Issue - State: closed - Opened by kanerogers almost 3 years ago - 8 comments

#240 - Added FleaFPGA-Ohm ECP5 board

Pull Request - State: closed - Opened by benitoss almost 3 years ago

#239 - Upload to OrangeCrab v0.2.1 doesn't work

Issue - State: closed - Opened by luizribeiro almost 3 years ago - 2 comments

#238 - Unable to build for TinyFPGA-BX

Issue - State: closed - Opened by matt-hu almost 3 years ago - 4 comments

#237 - Added in the Readme the new supported boards

Pull Request - State: closed - Opened by benitoss almost 3 years ago

#236 - Tests fail

Issue - State: closed - Opened by Luflosi almost 3 years ago - 3 comments

#235 - Solve the programmer problem in Colorlight 5A-75B

Pull Request - State: closed - Opened by benitoss almost 3 years ago

#234 - Update programmers.json

Pull Request - State: closed - Opened by benitoss almost 3 years ago

#233 - VHDL design entry via GHDL Yosys plugin

Issue - State: open - Opened by PPlinux about 3 years ago - 1 comment

#232 - Update boards.json

Pull Request - State: closed - Opened by WiFiBoyDerek about 3 years ago - 1 comment

#230 - Update USB-Blaster udev rules

Pull Request - State: closed - Opened by benitoss about 3 years ago

#229 - ECP5 Boards added

Pull Request - State: closed - Opened by benitoss about 3 years ago - 1 comment

#228 - Support iCESugar-nano board.

Pull Request - State: closed - Opened by flabbergast about 3 years ago - 1 comment

#227 - Manage code with subdirectories

Issue - State: open - Opened by nihirash over 3 years ago - 2 comments

#226 - fix setup.py cp950 error

Pull Request - State: closed - Opened by WiFiBoyDerek over 3 years ago

#225 - request to add OK-iCE40Pro to boards.json

Pull Request - State: closed - Opened by WiFiBoyDerek over 3 years ago - 2 comments

#224 - apio sim and apio verify not working if the home path contains spaces

Issue - State: closed - Opened by Obijuan over 3 years ago - 2 comments

#223 - Programming TinyFGPA-BX with `apio upload` gives an error.

Issue - State: closed - Opened by achary over 3 years ago - 11 comments

#222 - Confusion about versions - where can 0.6.0 be found?

Issue - State: closed - Opened by achary over 3 years ago - 2 comments

#221 - How to switch programmer utility for a board?

Issue - State: open - Opened by plexj over 3 years ago

#219 - ICEsugar board support

Issue - State: closed - Opened by Obijuan over 3 years ago - 13 comments

#217 - iCESugar board support

Pull Request - State: closed - Opened by aalku over 3 years ago

#216 - Revert "iCESugar v1.5 support"

Pull Request - State: closed - Opened by Obijuan over 3 years ago

#215 - iCESugar v1.5 support

Pull Request - State: closed - Opened by aalku over 3 years ago

#214 - How to integrate a new programmer

Issue - State: closed - Opened by aalku over 3 years ago - 22 comments

#213 - ULX3S programmer

Issue - State: closed - Opened by goran-mahovlic over 3 years ago - 2 comments

#212 - Integrate the binaries from the FPGA-toolchain

Issue - State: closed - Opened by Obijuan almost 4 years ago - 3 comments

#211 - Perform a new release

Issue - State: closed - Opened by pcossutta almost 4 years ago - 3 comments

#210 - PLL on iCEstick not generated correctly

Issue - State: open - Opened by damianolodi almost 4 years ago - 1 comment

#205 - Toolchain: Support for iceprogduino

Issue - State: closed - Opened by Obijuan about 4 years ago - 7 comments

#189 - Loading libftdi1.2.dylib

Issue - State: closed - Opened by Pa0rba over 4 years ago - 4 comments

#180 - Added Scansion to replace Gtkwave on MacOS

Pull Request - State: closed - Opened by charathram about 5 years ago - 5 comments

#178 - No simulation on APIO IDE

Issue - State: closed - Opened by ProgPICs about 5 years ago - 1 comment

#176 - Parameterize SCons file based on apio settings

Issue - State: open - Opened by s-ol over 5 years ago - 1 comment

#173 - External Toolchain is not recognized

Issue - State: closed - Opened by martin2250 over 5 years ago - 2 comments

#148 - apio on raspberry pi zero ( linux_armv6l ) with icezero

Issue - State: open - Opened by rdwrt over 6 years ago - 4 comments
Labels: enhancement